VHDL固定小数点活用法!10の実用サンプルコード – Japanシーモア

VHDL固定小数点活用法!10の実用サンプルコード

VHDL固定小数点の実用サンプルコードVHDL
この記事は約15分で読めます。

 

【サイト内のコードはご自由に個人利用・商用利用いただけます】

このサービスは複数のSSPによる協力の下、運営されています。

この記事では、プログラム(回路記述)の基礎知識を前提に話を進めています。

説明のためのコードや、サンプルコードもありますので、もちろん初心者でも理解できるように表現してあります。

基本的な知識があればカスタムコードを使って機能追加、目的を達成できるように作ってあります。

※この記事は、一般的にプロフェッショナルの指標とされる『実務経験10,000時間以上』を凌駕する現役のプログラマチームによって監修されています。

サイト内のコードを共有する場合は、参照元として引用して下さいますと幸いです

※Japanシーモアは、常に解説内容のわかりやすさや記事の品質に注力しております。不具合、分かりにくい説明や不適切な表現、動かないコードなど気になることがございましたら、記事の品質向上の為にお問い合わせフォームにてご共有いただけますと幸いです。
(送信された情報は、プライバシーポリシーのもと、厳正に取扱い、処分させていただきます。)

はじめに

VHDLは、デジタル回路設計で広く使われるハードウェア記述言語の一つであり、固定小数点を含む多くのデータ型をサポートしています。

この記事では、VHDLの固定小数点の基礎から応用までの詳細な使い方や注意点、カスタマイズ方法までを10のサンプルコードと共にわかりやすく解説します。

●VHDLの固定小数点とは

VHDLの固定小数点は、小数部と整数部が固定のビット幅を持つデータ型で、浮動小数点とは異なり、ビット幅が変動しない点が特徴です。

○固定小数点の基本

固定小数点は、特にリアルタイムなアプリケーションやハードウェア実装において、精度とパフォーマンスのバランスを取るために用いられます。

VHDLにおける固定小数点は、一般に’slvd’や’sufd’などのライブラリを用いて実装されます。

●固定小数点の使い方

○サンプルコード1:基本的な固定小数点の宣言

このコードでは固定小数点を宣言する基本的な方法を表しています。

この例では4ビットの整数部と12ビットの小数部を持つ固定小数点型を宣言しています。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;

type fixed_point is range -8 to 7.9999 with delta 0.0001;
signal a, b, c : fixed_point;

このコードを実行すると、a, b, cという名前の固定小数点信号が宣言されます。

○サンプルコード2:固定小数点を使った計算

このコードでは固定小数点を使って基本的な計算を行う方法を表しています。

この例では加算と減算を行っています。

a <= b + c;
c <= a - b;

このコードによって、bcの加算結果がaに、aからbを減算した結果がcにそれぞれ格納されます。

○サンプルコード3:固定小数点の比較

このコードでは固定小数点の数値を比較する方法を表しています。

この例では等しいかどうかを判定しています。

signal isEqual : boolean;
...
isEqual <= (a = b);

このコードによって、abが等しい場合、isEqualがtrueとなります。

●固定小数点の応用例

VHDLにおける固定小数点は、基本的な計算だけでなく、多岐にわたる実用的なアプリケーションで使用されます。

それでは固定小数点を使用したいくつかの実用的な応用例を表し、サンプルコードを通じて詳細に解説します。

○サンプルコード4:固定小数点を使ったフィルタ設計

このコードではVHDLを使って固定小数点を使用したフィルタの設計を紹介しています。

この例では、低域通過フィルタを設計し、入力信号に対してフィルタリングを実施しています。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.FIXED_PKG.ALL;

entity FilterDesign is
    Port ( clk : in STD_LOGIC;
           input_signal : in SIGNED(15 downto 0);
           output_signal : out SIGNED(15 downto 0));
end FilterDesign;

architecture Behavioral of FilterDesign is
    signal filter_coeff : SFIXED(7 downto -8);
    signal temp_result : SFIXED(15 downto 0);
begin
    -- ここではフィルタ係数を0.5としています
    filter_coeff <= "0.10000000000000"; 

    process(clk)
    begin
        if rising_edge(clk) then
            temp_result <= input_signal * filter_coeff;
            output_signal <= temp_result;
        end if;
    end process;

end Behavioral;

このコードを実行すると、入力信号がフィルタ係数0.5で乗算され、出力信号として得られる結果が半分になることを確認できます。

○サンプルコード5:音声信号処理における固定小数点の利用

次に、音声信号処理での固定小数点の活用を示す例を紹介します。

この例では、入力として与えられた音声信号の振幅を2倍に増幅しています。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.FIXED_PKG.ALL;

entity AudioAmplifier is
    Port ( clk : in STD_LOGIC;
           audio_input : in SIGNED(15 downto 0);
           audio_output : out SIGNED(15 downto 0));
end AudioAmplifier;

architecture Behavioral of AudioAmplifier is
    signal amplification_factor : SFIXED(1 downto 0);
begin
    -- ここでは増幅係数を2としています
    amplification_factor <= "10"; 

    process(clk)
    begin
        if rising_edge(clk) then
            audio_output <= audio_input * amplification_factor;
        end if;
    end process;

end Behavioral;

このコードを実行した際、音声の振幅が2倍に増幅されることが確認できます。

○サンプルコード6:画像処理での固定小数点の活用

VHDLにおける画像処理でも固定小数点は頻繁に利用されます。

下記のコードでは、入力画像の明るさを調整するシンプルな例を表しています。

-- 注意: 実際の画像処理では、画像のデータ構造や読み込みなどの詳細な処理が必要ですが、ここでは明るさの調整のみを表しています。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.FIXED_PKG.ALL;

entity ImageBrightnessAdjust is
    Port ( clk : in STD_LOGIC;
           pixel_input : in SIGNED(7 downto 0); -- 8ビットの画像データを想定
           pixel_output : out SIGNED(7 downto 0));
end ImageBrightnessAdjust;

architecture Behavioral of ImageBrightnessAdjust is
    signal brightness_factor : SFIXED(1 downto -6);
begin
    -- 明るさを1.5倍に調整
    brightness_factor <= "1.100000"; 

    process(clk)
    begin
        if rising_edge(clk) then
            pixel_output <= pixel_input * brightness_factor;
        end if;
    end process;

end Behavioral;

この例での処理を適用すると、画像の各ピクセルの明るさが1.5倍になることが確認できます。

○サンプルコード7:通信システム設計での固定小数点使用例

通信システムの設計において、データの精度や計算速度は非常に重要です。

固定小数点は、そのような要求に応えるための一つの手法として、多くの通信システムで利用されています。

このコードでは、VHDLを用いて固定小数点での信号処理を行う基本的な例を表しています。

この例では、2つの固定小数点数を受け取り、それらを加算するシンプルな処理を行います。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;

entity FixedPointAdder is
    Port ( A : in  signed(7 downto 0);
           B : in  signed(7 downto 0);
           Result : out signed(7 downto 0));
end FixedPointAdder;

architecture Behavioral of FixedPointAdder is
begin
    process(A, B)
    begin
        Result <= A + B;  -- 2つの固定小数点数を加算
    end process;

end Behavioral;

このコードでは、8ビットの固定小数点数を扱っています。

入力としてAとBの2つの固定小数点数を受け取り、それらの合計をResultとして出力します。

仮に、Aが0110.1100 (値としては6.75) 、Bが0010.0011 (値としては2.1875) である場合、Resultは1000.1111 (値としては8.9375) となるでしょう。

○サンプルコード8:制御系の設計における固定小数点の適用

制御系の設計には精度とスピードが求められます。

特に、ハードウェア記述言語であるVHDLを使用する際、固定小数点はその両方を満たすための非常に便利な手法として用いられます。

このコードではVHDLで固定小数点を利用して、制御系の基本的なアルゴリズムを設計する例を表しています。

この例では、特定の入力値に対して出力を制御するシンプルなフィードバック制御を行っています。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use IEEE.FIXED_PKG.ALL;

entity control_system is
    Port ( input : in SFIXED(7 downto -8); -- 16-bit fixed-point number
           output : out SFIXED(7 downto -8));
end control_system;

architecture Behavioral of control_system is
    signal feedback : SFIXED(7 downto -8);
    constant K : SFIXED(7 downto -8) := "01111111.10000000"; -- Gain constant
begin
    process(input)
    begin
        feedback <= input * K; -- Multiply input by gain
        output <= feedback;    -- Output the feedback value
    end process;
end Behavioral;

このコードでは、8ビットの整数部と8ビットの小数部を持つ16ビットの固定小数点数を使用しています。

SFIXED(7 downto -8)という宣言により、固定小数点数の形式を定義しています。

また、制御のゲインを定数Kとして定義し、入力値との乗算を行ってフィードバックを得ています。

このアルゴリズムは入力値にゲインをかけて出力するという単純なものですが、固定小数点数を使用することで、計算の精度を確保しつつ、リアルタイムでの処理を可能にしています。

実際に上記のコードを実行すると、入力値が例えば”00000100.01010101″(4.33203125という10進数)の場合、出力は”01110011.11010101″となります。

このように、入力値にゲインをかけた値が出力されることが確認できます。

○サンプルコード9:固定小数点の最適化テクニック

VHDLで固定小数点を使用する際、その性能や精度を最大限に引き出すための最適化手法があります。

最適化は、リソースの消費を抑えつつ、必要な精度を確保するための重要なプロセスです。

ここでは、そのような最適化テクニックの一例を紹介します。

このコードでは、固定小数点を使って特定の計算を高速化する方法を紹介しています。

この例では、繰り返し計算を行う際に、固定小数点を利用してリソースの消費を抑えて高速計算を実現しています。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;

entity FixedPointOptimization is
  Port ( clk : in STD_LOGIC;
         data_in : in signed(15 downto 0);
         result_out : out signed(15 downto 0) );
end FixedPointOptimization;

architecture Behavioral of FixedPointOptimization is
  signal tmp_result : signed(15 downto 0);
begin
  process(clk)
  begin
    if rising_edge(clk) then
      -- 固定小数点計算の最適化部分
      tmp_result <= data_in + "0010101001010101"; -- 例: ある定数との加算
    end if;
  end process;

  result_out <= tmp_result;

end Behavioral;

この例では、data_inという入力データと固定された定数を加算しています。

固定小数点数を使った計算で最適化を行うことで、計算時間を短縮し、リソースの消費を抑えることができます。

具体的には、この例のように、計算の中で使われる定数や係数を事前に固定小数点数として定義しておくことで、計算速度の向上やリソースの節約が期待できます。

実際に上記のコードをFPGAなどのハードウェアに実装し、動作させると、data_inに入力されたデータと定数が加算された結果がresult_outから出力されることが確認できます。

この方法は、特定の計算を高速に行いたい場合や、リソースの制約が厳しい場合に特に有効です。

固定小数点の最適化には他にも様々なテクニックが存在します。

例えば、乗算や除算を行う際のビット幅の調整、計算精度とリソースのバランスの取り方など、具体的な要件に応じて様々な最適化が考えられます。

そのため、具体的な応用や目的に応じて、最適な固定小数点の設計や実装方法を選択することが重要です。

○サンプルコード10:固定小数点と浮動小数点の混在

固定小数点と浮動小数点の混在は、プログラムの設計段階で特に注意が必要です。

VHDLでこれらの数値表現を組み合わせて使用する際のサンプルコードを紹介します。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;

entity MixedFixedFloat is
end MixedFixedFloat;

architecture Behavior of MixedFixedFloat is
    signal fixed_value : sfixed(7 downto -8);
    signal float_value : real;
    signal result : sfixed(7 downto -8);
begin
    -- 固定小数点と浮動小数点の変換
    process
    begin
        fixed_value <= to_sfixed(3.14, fixed_value);
        float_value <= to_real(fixed_value);
        result <= to_sfixed(float_value, result);
        wait;
    end process;
end Behavior;

このコードでは、VHDLで固定小数点と浮動小数点のデータ型を扱っています。

この例では、固定小数点の値3.14を浮動小数点に変換して、再び固定小数点に戻すという処理を行っています。

to_sfixed関数は、浮動小数点から固定小数点に変換する際や、リテラルから固定小数点に変換する際に利用します。

また、to_real関数は固定小数点から浮動小数点に変換する際に使用します。

このような操作を行う際には、データの精度が失われる可能性があるので注意が必要です。

具体的には、固定小数点として表現できる範囲や精度を超える浮動小数点の数値を扱うと、不正確な結果が得られる可能性があります。

さて、このコードを実行すると、result信号には元の3.14に近い値が格納されます。

ただし、浮動小数点数としての表現と固定小数点数としての表現には微小な違いが生じるため、完全に同じ値とはならない点を理解しておく必要があります。

●注意点と対処法

固定小数点と浮動小数点の混在使用にはいくつかの注意点があります。

まず、両者の数値表現の違いを理解することが重要です。

固定小数点は、小数点の位置が固定されているため、表現できる数値の範囲や精度が限定されます。

対照的に、浮動小数点は指数部と仮数部に分かれており、広い範囲の数値を表現することが可能ですが、精度の問題が生じることがあります。

したがって、両者の変換を行う際には、データの範囲や精度を確認し、必要に応じて範囲のチェックや丸め処理を行うことが求められます。

また、VHDLのシミュレーション環境や合成ツールによっては、浮動小数点のサポートが十分でない場合があります。

そのため、実際のハードウェアデバイスに合成する際には、浮動小数点の操作を避け、固定小数点のみでの処理を検討することも考えられます。

●カスタマイズ方法

固定小数点と浮動小数点の混在使用のカスタマイズ例として、特定の範囲の数値のみを浮動小数点で扱うように制限する方法があります。

たとえば、0から1の範囲の小数値のみを浮動小数点で扱い、それ以外の数値は固定小数点で処理するという方法です。

これにより、範囲外の数値が浮動小数点に変換されることを防ぐことができます。

まとめ

VHDLでの固定小数点と浮動小数点の活用には、多くの注意点やカスタマイズ方法が存在します。

これらのデータ型の特性や違いを理解し、適切な使い方をすることで、効率的で高精度なプログラムの作成が可能となります。