VHDLの排他的論理和を10ステップで完全攻略

VHDLの排他的論理和のイメージ図VHDL
この記事は約24分で読めます。

 

【サイト内のコードはご自由に個人利用・商用利用いただけます】

この記事では、プログラム(回路記述)の基礎知識を前提に話を進めています。

説明のためのコードや、サンプルコードもありますので、もちろん初心者でも理解できるように表現してあります。

基本的な知識があればカスタムコードを使って機能追加、目的を達成できるように作ってあります。

※この記事は、一般的にプロフェッショナルの指標とされる『実務経験10,000時間以上』を凌駕する現役のプログラマチームによって監修されています。

サイト内のコードを共有する場合は、参照元として引用して下さいますと幸いです

※Japanシーモアは、常に解説内容のわかりやすさや記事の品質に注力しております。不具合、分かりにくい説明や不適切な表現、動かないコードなど気になることがございましたら、記事の品質向上の為にお問い合わせフォームにてご共有いただけますと幸いです。
(送信された情報は、プライバシーポリシーのもと、厳正に取扱い、処分させていただきます。)

はじめに

VHDLは、デジタル回路の設計や検証に広く用いられるハードウェア記述言語です。

この記事では、VHDLでの排他的論理和の使い方と、それに関連する様々な技術や応用例を10のステップで詳細に解説していきます。

サンプルコードと共に、初心者から上級者までの方が安心して学べる内容となっております。

さらに、注意点やカスタマイズ方法なども網羅していますので、VHDLの排他的論理和に関する知識を深める絶好の機会となります。

●VHDLと排他的論理和とは

○VHDLの基本概念

VHDL(VHSIC Hardware Description Language)は、高速集積回路のためのハードウェア記述言語であり、FPGAやASICの設計に用いられます。

VHDLは、論理ゲートや回路の動作を精確に記述することができるため、複雑なデジタルシステムの設計にも適しています。

○排他的論理和の特徴

排他的論理和(XOR)は、2つの入力が異なる場合にのみ真(1)を出力し、2つの入力が同じ場合には偽(0)を出力する論理ゲートです。

この特性から、XORは情報処理やエラー検出、暗号化などの分野で広く利用されています。

●VHDLの排他的論理和の使い方

○サンプルコード1:基本的な排他的論理和の使い方

このコードでは、VHDLを使って基本的な排他的論理和の動作を実装するコードを表しています。

この例では、2つの入力AとBの排他的論理和を計算して出力します。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity XOR_gate is
    Port ( A : in  STD_LOGIC;
           B : in  STD_LOGIC;
           Y : out STD_LOGIC);
end XOR_gate;

architecture Behavioral of XOR_gate is
begin
    Y <= A xor B; -- AとBの排他的論理和を計算
end Behavioral;

上のコードを実行すると、AとBの入力に応じた排他的論理和の結果がYの出力として得られます。

例えば、A=1、B=0の場合、Yの出力は1となります。

○サンプルコード2:排他的論理和を使った条件分岐

このコードでは、排他的論理和を使って条件分岐を実装する方法を表しています。

この例では、2つの入力AとBが同じ場合は出力Yを1に、異なる場合は0にします。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity XOR_condition is
    Port ( A : in  STD_LOGIC;
           B : in  STD_LOGIC;
           Y : out STD_LOGIC);
end XOR_condition;

architecture Behavioral of XOR_condition is
begin
    Y <= '1' when (A = B) else '0'; -- AとBが同じ場合Yを1に
end Behavioral;

このコードを適用すると、AとBが同じ値の場合、Yの出力は1となり、異なる場合は0となります。

例として、A=0、B=0の場合、Yの出力は1となります。

○サンプルコード3:複数の信号での排他的論理和の使用

排他的論理和(XOR)は、2つの真偽値が異なるときに真となる論理演算です。

VHDLでの排他的論理和の実装は、単一の信号だけでなく、複数の信号を扱う場合にも適用できます。

今回は、複数の信号間での排他的論理和の使い方を、実際のサンプルコードを交えて詳しく解説します。

このコードではVHDLのxor演算子を使用して、3つの信号A、B、Cの間で排他的論理和を計算するコードを紹介しています。

この例では、A、B、Cの信号のうち、奇数個の信号が1である場合に結果が1になります。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity multi_xor is
    Port ( A : in STD_LOGIC;
           B : in STD_LOGIC;
           C : in STD_LOGIC;
           Y : out STD_LOGIC);
end multi_xor;

architecture Behavioral of multi_xor is
begin
    Y <= A xor B xor C; -- 3つの信号での排他的論理和を計算
end Behavioral;

このコードを実行すると、A、B、Cの各信号が以下のように与えられた場合、Yの結果がどのようになるかを見てみましょう。

  • A=0, B=0, C=0 → Y=0
  • A=0, B=0, C=1 → Y=1
  • A=0, B=1, C=0 → Y=1
  • A=0, B=1, C=1 → Y=0
    … 以下、全8パターン

つまり、3つの入力信号のうち1つまたは3つが1の場合に、出力Yは1となります。

それ以外の場合、すなわち0つまたは2つが1の場合には、出力Yは0となります。

応用として、複数の信号での排他的論理和を使うことで、特定の条件下での信号の変化を検出するロジックや、特定の信号パターンを検出するロジックなどを作成することができます。

また、複雑な回路設計やデータ処理においても、排他的論理和の性質を活かしたロジックの設計が求められることがあります。

○サンプルコード4:排他的論理和を用いたデータ処理

VHDLで排他的論理和を用いたデータ処理は、データ間の特定の関係や特性を取り出す際に非常に役立ちます。

ここでは、VHDLでの排他的論理和を使ってデータ処理を行う際のサンプルコードとその詳細な説明を行います。

-- VHDLサンプルコード4
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity DataProcessing is
    Port ( A : in STD_LOGIC_VECTOR (3 downto 0);
           B : in STD_LOGIC_VECTOR (3 downto 0);
           Output : out STD_LOGIC_VECTOR (3 downto 0) );
end DataProcessing;

architecture Behavioral of DataProcessing is
begin
    -- 排他的論理和を使ってデータ処理
    process(A, B)
    begin
        Output <= A xor B;
    end process;
end Behavioral;

このコードでは、4ビットの入力データAとBを用意し、これらのデータに対して排他的論理和を適用しています。

この例では、4ビットのデータAとBのそれぞれのビット間で排他的論理和を行い、その結果をOutputとして出力しています。

排他的論理和を使うことで、入力データAとBのビットが異なる場所だけが’1’となるOutputを得ることができます。

これは、2つのデータ間の違いを検出する場合や、特定のビットパターンを抽出する際に役立ちます。

例えば、入力データAが”1101″、Bが”1001″の場合、Outputは”0100″となります。

これは、AとBの3番目のビットだけが異なることを示しています。

●VHDLの排他的論理和の応用例

排他的論理和はその特性上、多様な応用例を持っています。

それでは、VHDLでの排他的論理和の応用例として、エンコーダとデコーダの作成について解説します。

○サンプルコード5:排他的論理和を利用したエンコーダの作成

エンコーダは、複数の入力信号から一つの出力信号を生成する回路です。

排他的論理和を利用したエンコーダは、特定の入力信号の組み合わせに応じて、異なる出力信号を生成します。

-- VHDLサンプルコード5
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity Encoder is
    Port ( Input : in STD_LOGIC_VECTOR (3 downto 0);
           Output : out STD_LOGIC_VECTOR (1 downto 0) );
end Encoder;

architecture Behavioral of Encoder is
begin
    -- 排他的論理和を利用したエンコーディング
    process(Input)
    begin
        Output(0) <= Input(0) xor Input(1);
        Output(1) <= Input(2) xor Input(3);
    end process;
end Behavioral;

この例では、4ビットの入力データInputに対して、排他的論理和を使って2ビットの出力データOutputを生成しています。

特定の入力ビットの組み合わせに基づいて出力をエンコードすることで、情報の圧縮や特定の信号の取り出しが可能になります。

○サンプルコード6:排他的論理和を用いたデコーダの作成

VHDLでは、排他的論理和を使用して、非常に効率的にデコーダを実装することができます。

デコーダは、入力されたデータを特定の出力パターンに変換するデジタル回路の一部として使用されます。

ここでは、排他的論理和を使用して2ビットのデコーダをVHDLで設計する方法を解説します。

2ビットデコーダの実装を表すサンプルコードを紹介します。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity Decoder_2bit is
    Port ( A : in  STD_LOGIC_VECTOR(1 downto 0);
           Y : out  STD_LOGIC_VECTOR(3 downto 0));
end Decoder_2bit;

architecture Behavior of Decoder_2bit is
begin
    process(A)
    begin
        Y <= "0001" when A = "00" else
              "0010" when A = "01" else
              "0100" when A = "10" else
              "1000";
    end process;
end Behavior;

このコードでは、2ビットの入力Aを取り、それに対応する4ビットの出力Yを生成します。

入力が”00″の場合、出力は”0001″となり、”01″の場合は”0010″、”10″の場合は”0100″、そして”11″の場合は”1000″となります。

このように、排他的論理和を活用せずとも、VHDLの条件文を使って簡単にデコーダの動作を記述することができます。

しかし、デコーダの出力パターンがより複雑になる場合や、入力ビット数が増える場合には、排他的論理和を使用して効率的に記述することが可能です。

実際には、この2ビットのデコーダを使用すると、4つの異なる出力パターンのいずれか一つを選択することができます。

たとえば、センサからの信号を読み取り、その信号に応じて4つの異なる動作を制御する場面などで利用されます。

デコーダの実装に関して、特に排他的論理和が活躍する部分はありませんが、排他的論理和を組み合わせた複雑なデコーダの設計では、その特性を活かすことができるでしょう。

○サンプルコード7:排他的論理和を使った論理ゲートのシミュレーション

排他的論理和は、その名の通り、2つの入力が異なるときにのみ真を返す論理ゲートとしても知られています。

この性質を利用して、論理ゲートのシミュレーションをVHDLで行うことも可能です。

下記のコードは、排他的論理和を使って、XORゲートの動作をシミュレーションする例を表しています。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity XOR_Gate is
    Port ( A : in  STD_LOGIC;
           B : in  STD_LOGIC;
           Y : out  STD_LOGIC);
end XOR_Gate;

architecture Behavior of XOR_Gate is
begin
    Y <= A xor B;
end Behavior;

このコードでは〇〇を使って〇〇をするコードを紹介しています。

この例では、AとBという2つの入力信号を取り、それらの排他的論理和を出力Yに割り当てています。

従って、AとBが異なる場合にのみ、Yは真となります。逆に、AとBが同じ場合、Yは偽となります。

○サンプルコード8:排他的論理和を用いた高度な回路設計

VHDLにおいて、排他的論理和は非常に便利なツールとなります。

特に高度なデジタル回路の設計では、その特性をフルに活用することで、多機能かつ効率的な回路を実現することができます。

ここでは、排他的論理和を活用して、独自の高度な回路設計の一例として、ビット反転検出器を実装します。

ビット反転検出器は、2つの同じ長さのビット列を入力として受け取り、どのビット位置が反転しているかを示すビット列を出力する回路です。

例えば、入力ビット列が’1010’と’1001’の場合、出力は’0011’となります。

これは、ビット反転検出器のVHDLによる実装例です。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity BitInversionDetector is
    Port ( A : in  STD_LOGIC_VECTOR(3 downto 0);
           B : in  STD_LOGIC_VECTOR(3 downto 0);
           Y : out  STD_LOGIC_VECTOR(3 downto 0));
end BitInversionDetector;

architecture Behavior of BitInversionDetector is
begin
    process(A, B)
    begin
        Y <= A xor B;
    end process;
end Behavior;

上記のコードでは、AとBという2つの4ビットの入力信号を取り、それらの間での排他的論理和を計算して出力Yに割り当てています。

この出力Yは、AとBの各ビットが異なる場所を示すビット列となります。

例えば、Aが’1010’、Bが’1001’の場合、出力Yは’0011’となります。

これは、AとBの第2ビットと第1ビットが異なることを示しています。

この回路は、エラー検出やデータ通信などの分野で役立つ可能性があります。

特に、2つのデータの違いを迅速に検出する必要がある場合、このビット反転検出器は非常に有用です。

さらなる応用例として、このビット反転検出器を使用して、ビットエラーの位置を特定したり、エラー訂正のための情報を生成する回路の設計も考えられます。

○サンプルコード9:排他的論理和を利用した複雑な信号処理

VHDLにおける排他的論理和は、多彩な信号処理の可能性を秘めています。

中でも複雑な信号処理を行う場面での利用法を、今回は詳しく取り上げます。

このコードでは、排他的論理和を用いて、異なる2つの信号入力を持ち、それぞれの入力が特定の条件を満たした場合に出力を行うという複雑な信号処理を行っています。

この例では、AとBの2つの信号を入力とし、Aが1のとき、Bが0のときにのみ出力が1になるという条件を設定しています。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity ComplexXOR is
    Port ( A : in  STD_LOGIC;
           B : in  STD_LOGIC;
           Y : out  STD_LOGIC);
end ComplexXOR;

architecture Behavioral of ComplexXOR is
begin
    -- ここで排他的論理和を利用して複雑な信号処理を実装
    Y <= (A and not B) or (not A and B);
end Behavioral;

このサンプルコードでは、ABの2つの信号が排他的論理和の条件を満たすとき、Yが出力される仕組みになっています。

具体的には、Aが1でBが0の場合、またはAが0でBが1の場合にYが1として出力されます。

このコードを実際にシミュレートすると、A=1, B=0のとき、Y=1となります。同様に、A=0, B=1のときも、Y=1となります。

しかし、AとBが同じ値の場合、Yは0となります。これは排他的論理和の特性を活かした動作です。

排他的論理和の特性を理解し、それを複雑な条件での信号処理に応用することで、効率的かつ高速な回路設計が可能となります。

さらに、このサンプルコードをベースに、更に複雑な条件や複数の信号を組み合わせて処理を行うことも可能です。

例えば、3つ以上の信号を入力とし、特定の組み合わせで出力を行う場合など、応用の幅は非常に広いです。

○サンプルコード10:排他的論理和を使った特殊なケースの実装

VHDLでの排他的論理和の応用は非常に多岐にわたります。

今回は、特殊なケースとして、排他的論理和を活用して特定のビットパターンを検出する方法を取り上げます。

このコードでは、8ビットの入力信号を取り、特定のビットパターンが入力された場合に、出力として’1’を返すというシステムを実装しています。

この例では、入力ビットパターンが”11001010″の場合に出力が’1’となるように設計しています。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity Pattern_Detector is
    Port ( input_signal : in STD_LOGIC_VECTOR(7 downto 0);
           output_signal : out STD_LOGIC );
end Pattern_Detector;

architecture Behavior of Pattern_Detector is
begin
    process(input_signal)
    begin
        if input_signal = "11001010" then
            output_signal <= '1';
        else
            output_signal <= '0';
        end if;
    end process;
end Behavior;

上記のコードの中で重要な部分は、if input_signal = "11001010"の条件式部分です。

これにより、8ビットの入力信号が”11001010″というビットパターンに一致する場合のみ、出力信号が’1’になるように設計されています。

この方法を利用すると、特定のビットパターンや信号の組み合わせを検出する際に、非常に効率的に処理が行えます。

また、このコードは基本的な形に留まりますが、必要に応じて複数のビットパターンの検出や、それに伴う異なるアクションを実装することも可能です。

さて、このコードを実際にFPGAやシミュレータで実行した場合、入力信号に”11001010″というビットパターンを与えたとき、出力信号が’1’となり、それ以外のビットパターンでは’0’が出力されることが確認できます。

次に、このコードを応用して、特定のビットパターンではなく、特定のビットの組み合わせを検出する方法を考えてみましょう。

例えば、最上位ビットと最下位ビットが共に’1’である場合に’1’を出力するというシステムを考えます。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity Combination_Detector is
    Port ( input_signal : in STD_LOGIC_VECTOR(7 downto 0);
           output_signal : out STD_LOGIC );
end Combination_Detector;

architecture Behavior of Combination_Detector is
begin
    process(input_signal)
    begin
        if (input_signal(7) = '1' and input_signal(0) = '1') then
            output_signal <= '1';
        else
            output_signal <= '0';
        end if;
    end process;
end Behavior;

上記のコードでは、input_signal(7)で最上位ビットを、input_signal(0)で最下位ビットを参照しています。

そして、その2つのビットが共に’1’の場合のみ、出力が’1’となるように設計されています。

このような方法を利用することで、特定のビットの組み合わせやビットパターンを効率的に検出することができるので、データ処理や通信処理など、さまざまなアプリケーションでの利用が期待されます。

また、このコードも同様に実行すると、最上位ビットと最下位ビットが共に’1’の場合に’1’が出力され、それ以外の場合は’0’が出力されることが確認できます。

VHDLの排他的論理和を使った実装は、このようにシンプルだけど強力な処理を実現できます。

特定のビットパターンや組み合わせを検出する際の処理速度の向上や、複雑な条件分岐を簡略化するための手段として活用することができます。

●注意点と対処法

排他的論理和は非常に便利な機能であり、VHDLにおいてさまざまな場面で利用されます。

しかし、その使用には注意が必要な点やハマりやすいポイントがいくつか存在します。

これらの注意点を理解し、適切に対処することで、効率的にVHDLの排他的論理和を活用することができます。

○排他的論理和の動作確認は必須

排他的論理和は、名前の通り、入力のビットパターンが排他的である場合にのみTrueを返します。したがって、入力信号のビットパターンやタイミングによっては、予期しない結果が出力されることが

あります。

対処法:サンプルコードを使用して、実際の動作を確認しながら開発を進めることをおすすめします。

このコードでは、排他的論理和の基本的な動作を確認するものを紹介しています。

この例では、2つの入力信号が異なる場合にのみTrueを返すようにしています。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity XOR_Test is
    Port ( A : in STD_LOGIC;
           B : in STD_LOGIC;
           Y : out STD_LOGIC);
end XOR_Test;

architecture Behavior of XOR_Test is
begin
    Y <= A xor B;
end Behavior;

実際にこのコードを実行すると、入力信号AとBが異なる場合にのみ、出力信号Yが’1’となります。

○排他的論理和の演算の優先度

VHDLの演算子には優先度が存在します。

排他的論理和もその一つで、他の論理演算子と組み合わせる場合には、括弧を使って明確に優先度を表すことが推奨されます。

対処法:括弧を活用して、演算の優先度を明示的に表すことをおすすめします。

このコードでは、排他的論理和とAND演算を組み合わせる例を表しています。

この例では、AとBの排他的論理和の結果と、Cの論理値とのAND演算を行っています。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity XOR_AND_Test is
    Port ( A : in STD_LOGIC;
           B : in STD_LOGIC;
           C : in STD_LOGIC;
           Y : out STD_LOGIC);
end XOR_AND_Test;

architecture Behavior of XOR_AND_Test is
begin
    Y <= (A xor B) and C;
end Behavior;

このコードを実行すると、入力信号AとBが異なり、かつCが’1’の場合にのみ、出力信号Yが’1’となります。

○信号の遅延に注意

VHDLのシミュレーションでは、回路の遅延が考慮されます。

したがって、排他的論理和を使用する際にも、信号の遅延が結果に影響を与える可能性があります。

対処法:遅延を意識した設計や、遅延を模倣するシミュレーションを行うことで、実際の動作を確認することをおすすめします。

このコードでは、排他的論理和の遅延を模倣する例を表しています。

この例では、排他的論理和の結果を10ns遅延させて出力しています。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity XOR_Delay_Test is
    Port ( A : in STD_LOGIC;
           B : in STD_LOGIC;
           Y : out STD_LOGIC);
end XOR_Delay_Test;

architecture Behavior of XOR_Delay_Test is
    signal temp : STD_LOGIC;
begin
    temp <=

 A xor B after 10 ns;
    Y <= temp;
end Behavior;

このコードを実行すると、入力信号AとBの排他的論理和の結果が、10ns遅延して出力信号Yに反映されます。

●カスタマイズ方法

VHDLの排他的論理和は、単純なビット操作から複雑なロジック設計まで、多岐にわたる場面で利用されます。

しかし、特定の用途や要件に合わせて、この排他的論理和をカスタマイズする方法も多く存在します。

ここでは、排他的論理和のカスタマイズ方法について、サンプルコードとともに詳しく解説します。

○カスタマイズ1:複数の信号入力に対する排他的論理和

通常、排他的論理和は2つの信号に適用されますが、複数の信号を連鎖的に排他的論理和演算したい場合も考えられます。

3つの入力信号A, B, Cに対して排他的論理和を適用する方法を表すコードを紹介します。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity Multiple_XOR is
    Port ( A : in STD_LOGIC;
           B : in STD_LOGIC;
           C : in STD_LOGIC;
           Y : out STD_LOGIC);
end Multiple_XOR;

architecture Behavior of Multiple_XOR is
begin
    Y <= A xor B xor C;
end Behavior;

このコードでは、3つの入力信号A, B, Cの排他的論理和を計算しています。

この例では、例えばAが’1’、BとCが’0’の場合、出力Yは’1’となります。

しかし、A, B, Cのうち2つ以上が’1’の場合、出力Yは’0’となります。

○カスタマイズ2:排他的論理和を使ったビットマスク

排他的論理和は、ビットマスクの作成にも利用できます。

ビットマスクとは、特定のビット位置を変更したり、保護したりするための方法です。

4ビットの入力信号に対して、特定のビット位置を反転させるコードを紹介します。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity Bitmask_XOR is
    Port ( A : in STD_LOGIC_VECTOR(3 downto 0);
           Y : out STD_LOGIC_VECTOR(3 downto 0));
end Bitmask_XOR;

architecture Behavior of Bitmask_XOR is
    constant MASK : STD_LOGIC_VECTOR(3 downto 0) := "0101";
begin
    Y <= A xor MASK;
end Behavior;

このコードでは、4ビットの入力信号Aに対して、”0101″というビットマスクを排他的論理和で適用しています。

この例では、入力信号Aが”1100″の場合、出力Yは”1001″となります。

○カスタマイズ3:排他的論理和を用いたデータの暗号化と復号

排他的論理和は、簡単なデータの暗号化と復号にも利用されます。

下記のコードは、入力データをキーと排他的論理和して暗号化し、同じキーで復号する例を表しています。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity XOR_Encryption is
    Port ( Data : in STD_LOGIC_VECTOR(7 downto 0);
           Key  : in STD_LOGIC_VECTOR(7 downto 0);
           Encrypted : out STD_LOGIC_VECTOR(7 downto 0);
           Decrypted : out STD_LOGIC_VECTOR(7 downto 0));
end XOR_Encryption;

architecture Behavior of XOR_Encryption is
    signal temp : STD_LOGIC_VECTOR(7 downto 0);
begin
    temp <= Data xor Key;
    Encrypted <= temp;
    Decrypted <= temp xor Key;
end Behavior;

このコードでは、8ビットの入力データDataと同じサイズのキーKeyを使用してデータを暗号化しています。

そして、同じキーで復号しています。

この例では、入力データが”10011010″とキーが”01100101″の場合、暗号化されたデータは”11111111″となり、復号されたデータは再び”10011010″となります。

まとめ

VHDLの排他的論理和は、ビット操作から複雑なロジック設計まで幅広い場面で活用される重要な概念です。

本記事では、排他的論理和の基本的な使い方から、複数の信号入力に対する排他的論理和、ビットマスクの作成、そしてデータの暗号化と復号のカスタマイズ方法について詳しく解説しました。

これらの知識を活用することで、VHDL設計における様々な要件や課題に効果的に対応することができます。

排他的論理和の応用範囲は広いため、日々の設計作業での活用をおすすめします。