VHDLインスタンス完全解説!10選の実践サンプルコード – Japanシーモア

VHDLインスタンス完全解説!10選の実践サンプルコード

VHDLインスタンスの詳しい解説と実践的なサンプルコードVHDL
この記事は約24分で読めます。

 

【サイト内のコードはご自由に個人利用・商用利用いただけます】

このサービスは複数のSSPによる協力の下、運営されています。

この記事では、プログラム(回路記述)の基礎知識を前提に話を進めています。

説明のためのコードや、サンプルコードもありますので、もちろん初心者でも理解できるように表現してあります。

基本的な知識があればカスタムコードを使って機能追加、目的を達成できるように作ってあります。

※この記事は、一般的にプロフェッショナルの指標とされる『実務経験10,000時間以上』を凌駕する現役のプログラマチームによって監修されています。

サイト内のコードを共有する場合は、参照元として引用して下さいますと幸いです

※Japanシーモアは、常に解説内容のわかりやすさや記事の品質に注力しております。不具合、分かりにくい説明や不適切な表現、動かないコードなど気になることがございましたら、記事の品質向上の為にお問い合わせフォームにてご共有いただけますと幸いです。
(送信された情報は、プライバシーポリシーのもと、厳正に取扱い、処分させていただきます。)

はじめに

VHDLは、デジタル回路の設計とシミュレーションのための言語として広く利用されています。

特に、FPGAやASICの設計フローにおいては欠かせない存在です。

本記事では、VHDLの「インスタンス」というキーワードを中心に、その生成方法や活用例、注意点などを詳しく解説していきます。

初心者から中級者まで、幅広くVHDLのインスタンスについて理解を深めることができる内容となっております。

●VHDLインスタンスとは

VHDLにおける「インスタンス」とは、定義されたモジュールやエンティティを具体的に使用するための宣言のことを指します。

簡単に言うと、設計した回路を実際に使いたい場所でコピー&ペーストするようなイメージです。

○VHDLインスタンスの基本理解

VHDLのインスタンス生成は、エンティティ宣言部分と、そのエンティティを使う部分(アーキテクチャ)との間に入れる必要があります。

具体的には、エンティティのポートマップを指定して、どの信号にどのポートを接続するのかを定義します。

●VHDLインスタンスの詳細な使い方

○サンプルコード1:VHDLでの基本的なインスタンス作成

このコードでは、簡単なANDゲートのエンティティを使ってインスタンスを生成するコードを紹介しています。

この例では、2つの入力信号をANDゲートに入力して、出力信号を得ています。

entity AND_gate is
    port ( A, B : in bit; Y : out bit );
end AND_gate;

architecture behavior of AND_gate is
begin
    Y <= A and B;
end behavior;

entity main is
end main;

architecture behavior of main is
    signal a, b, y : bit;
begin
    U1: AND_gate port map (A => a, B => b, Y => y);
end behavior;

上記のコードでは、AND_gateというエンティティを定義し、それをmainのアーキテクチャ内でインスタンス化しています。

U1という名前のAND_gateインスタンスが生成されています。

このようにして、回路内で必要な箇所にモジュールを配置することができます。

このコードを実行すると、aとbの信号がANDゲートを通過して、yという出力信号に結果が格納されます。

具体的には、aとbが両方とも’1’の場合、yも’1’となり、それ以外の場合は’0’となります。

○サンプルコード2:パラメータを持つインスタンスの生成

このコードでは、パラメータを持つエンティティのインスタンス化方法を紹介しています。

この例では、ビット幅をパラメータとして持つ加算器を定義し、そのインスタンスを生成しています。

entity adder is
    generic ( WIDTH : natural := 8 );
    port ( A, B : in bit_vector(WIDTH-1 downto 0); SUM : out bit_vector(WIDTH-1 downto 0) );
end adder;

architecture behavior of adder is
begin
    SUM <= A + B;
end behavior;

entity main is
end main;

architecture behavior of main is
    signal a, b, s : bit_vector(7 downto 0);
begin
    U1: adder generic map (WIDTH => 8) port map (A => a, B => b, SUM => s);
end behavior;

ここで定義しているadderエンティティは、WIDTHというジェネリックを持っており、これにより加算器のビット幅を柔軟に変更することができます。

mainアーキテクチャでは、ビット幅8のインスタンスを生成しています。

このコードを実行すると、aとbの8ビットの入力信号が加算され、sという出力信号に結果が格納されます。

このようにパラメータを持つインスタンスを使うことで、再利用性が高まり、設計効率も向上します。

○サンプルコード3:複数のモジュールをインスタンス化

VHDLプログラミングの世界では、一つのトップモジュールの中で、他のサブモジュールを呼び出すことがよくあります。

これは、モジュールの再利用性を高めるために非常に役立つ手法です。特に、複雑なデザインを効率よく組み立てる際には、この手法を使わざるを得ません。

ここでは、複数のモジュールをインスタンス化するVHDLのサンプルコードを提供し、その詳細について解説します。

-- モジュールAの定義
module ModuleA (
    input clk,
    input rst,
    input [7:0] data_in,
    output [7:0] data_out
);
    -- ここにモジュールAのロジックを書く
end module

-- モジュールBの定義
module ModuleB (
    input clk,
    input rst,
    input [7:0] data_in,
    output [7:0] data_out
);
    -- ここにモジュールBのロジックを書く
end module

-- トップモジュールの定義
module TopModule (
    input clk,
    input rst,
    input [7:0] data_in_A,
    input [7:0] data_in_B,
    output [7:0] data_out_A,
    output [7:0] data_out_B
);
    ModuleA uA (.clk(clk), .rst(rst), .data_in(data_in_A), .data_out(data_out_A));
    ModuleB uB (.clk(clk), .rst(rst), .data_in(data_in_B), .data_out(data_out_B));
end module

このコードでは、ModuleAとModuleBという二つのモジュールを定義し、それをTopModule内でインスタンス化しています。

この例では、ModuleAとModuleBが独立して動作し、それぞれ異なるデータ入力を受け取り、異なるデータ出力を提供することが表されています。

トップモジュール内でのモジュールのインスタンス化は、ModuleA uAModuleB uBという形で行われます。

この設計手法は、特に大規模なFPGAやASICの設計において、機能ごとにモジュールを分割し、それを再利用しながらトップモジュールで組み合わせることを容易にします。

このように、複数のモジュールを効果的に組み合わせることで、設計の再利用性と保守性が向上します。

このコードをFPGAやシミュレータ上で実行すると、ModuleAとModuleBは独立して動作します。

そのため、それぞれのモジュールの動作を独立してテストすることも可能です。

トップモジュールを実行することで、それらのモジュールが協調して動作する様子を確認することができます。

VHDLプログラミングの初心者から中級者にかけての方々は、このようなモジュールの組み合わせ手法を理解し、適切に活用することで、より高度で効率的な設計を行うことができるでしょう。

●VHDLインスタンスの応用例

VHDLのインスタンスは、基本的な利用方法だけでなく、様々な応用が可能です。

ここでは、その応用的な使い方をサンプルコードとともに解説します。

○サンプルコード4:条件を持つインスタンスの生成

このコードでは、ある条件を満たす場合にのみインスタンスを生成する方法を表しています。

この例では、特定の条件がTRUEのときだけインスタンスを生成して動作させています。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity conditional_instance is
    Port ( clk : in STD_LOGIC;
           enable : in STD_LOGIC;
           data_in : in STD_LOGIC_VECTOR(7 downto 0);
           data_out : out STD_LOGIC_VECTOR(7 downto 0));
end conditional_instance;

architecture Behavioral of conditional_instance is
    signal temp_data : STD_LOGIC_VECTOR(7 downto 0);
begin
    process(clk)
    begin
        if rising_edge(clk) then
            if enable = '1' then
                temp_data <= data_in;
            end if;
        end if;
    end process;

    data_out <= temp_data;
end Behavioral;

この例では、enable信号が’1’のときのみ、data_inのデータをtemp_dataに保存しています。

これにより、特定の条件下でのみ動作するインスタンスを作成することが可能です。

次に、このコードが動作する際の挙動についてです。

clkが立ち上がりエッジを検知すると、enableが’1’であるかどうかを確認します。

もし’1’であれば、data_inの値がtemp_dataにコピーされ、その結果がdata_outに反映されます。

○サンプルコード5:ループを使ったインスタンスの生成

このコードでは、ループを使用して複数のインスタンスを一度に生成する方法を表しています。

この例では、8つの同じモジュールを生成しています。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity multiple_instance is
    Port ( clk : in STD_LOGIC;
           data_in : in STD_LOGIC_VECTOR(7 downto 0);
           data_out : out STD_LOGIC_VECTOR(7 downto 0));
end multiple_instance;

architecture Behavioral of multiple_instance is
    component sample_module
        Port ( clk : in STD_LOGIC;
               data_in : in STD_LOGIC_VECTOR(7 downto 0);
               data_out : out STD_LOGIC_VECTOR(7 downto 0));
    end component;

    signal temp_data : array (7 downto 0) of STD_LOGIC_VECTOR(7 downto 0);

begin
    gen : for i in 0 to 7 generate
        inst : sample_module
            port map (clk => clk, data_in => data_in, data_out => temp_data(i));
    end generate;

    data_out <= temp_data(7);
end Behavioral;

この例で注目すべき部分は、”gen : for i in 0 to 7 generate”の部分です。

これによって、sample_moduleのインスタンスが8つ生成されます。

各インスタンスはdata_inを受け取り、結果をtemp_dataの各要素に保存します。

コードを実行すると、8つのsample_moduleインスタンスが動作し、data_inの情報を処理してtemp_dataに結果を保存します。

最終的にdata_outにはtemp_data(7)の値が反映されます。

○サンプルコード6:外部ファイルを参照するインスタンスの作成

VHDLでは、外部のVHDLファイルを参照して、その中に記述されたモジュールやサブルーチンをインスタンス化することが可能です。

この方法を利用すると、複数のVHDLファイルを効果的に組み合わせて、大規模なプロジェクトを構築する際に非常に役立ちます。

このコードでは、外部ファイルのモジュールを参照してインスタンスを作成する方法を紹介しています。

この例では、外部ファイルに記述された加算器のモジュールをインスタンス化して使用しています。

-- 外部ファイル(adder.vhdl)の例
-- adder.vhdl
entity adder is
    Port ( a : in STD_LOGIC_VECTOR (3 downto 0);
           b : in STD_LOGIC_VECTOR (3 downto 0);
           sum : out STD_LOGIC_VECTOR (3 downto 0));
end adder;

architecture Behavior of adder is
begin
    sum <= a + b; -- 簡単な加算操作
end Behavior;

上記のadder.vhdlという外部ファイルに加算器の定義が存在すると仮定します。

この加算器をメインのVHDLファイルでインスタンス化する方法は次の通りです。

-- メインのVHDLファイル
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;

entity main is
end main;

architecture Behavior of main is
    signal a, b, result : STD_LOGIC_VECTOR (3 downto 0);
begin
    -- 外部ファイルのadderをインスタンス化
    U1: entity work.adder port map (a, b, result);
end Behavior;

この例では、adder.vhdl内のadderエンティティをインスタンス化し、名前U1で利用しています。

外部のモジュールを使用する場合は、このようにentity work.モジュール名の形式で参照します。

このサンプルコードをシミュレーションすると、abの入力値に応じて、resultが適切に計算される結果を確認できます。

例えば、aが”0001″、bが”0010″の場合、resultは”0011″となります。

次に、外部のVHDLファイルを効果的に活用する際のヒントを紹介します。

外部ファイルのモジュールは、その内容が変更されると、参照している全てのVHDLファイルに影響を与える可能性があるため、適切なバージョン管理やドキュメントの整備が不可欠です。

また、外部ファイルのテストベンチを使用して、その動作を確認することも重要です。

○サンプルコード7:インターフェースを持つインスタンスの作成

VHDLの世界では、モジュール間のデータのやり取りを行うためにインターフェースを定義します。

インターフェースを持つインスタンスを作成することで、複数のモジュールや外部デバイスとのデータの連携をより簡単かつ効率的に行うことができます。

このコードでは、VHDLでインターフェースを定義し、それを利用してインスタンスを生成する方法を紹介しています。

この例では、シンプルなデータ送受信インターフェースを持ったインスタンスを作成しています。

-- インターフェースの定義
entity DataInterface is
    port (
        data_in  : in  std_logic_vector(7 downto 0);
        data_out : out std_logic_vector(7 downto 0);
        clk      : in  std_logic;
        rst_n    : in  std_logic
    );
end entity DataInterface;

architecture behavior of DataInterface is
begin
    process(clk, rst_n)
    begin
        if rst_n = '0' then
            data_out <= (others => '0');
        elsif rising_edge(clk) then
            data_out <= data_in;
        end if;
    end process;
end architecture behavior;

-- インターフェースを使用したインスタンスの生成
entity MainModule is
end entity MainModule;

architecture behavior of MainModule is
    signal data_bus : std_logic_vector(7 downto 0);
begin
    U1: entity work.DataInterface
    port map (
        data_in  => data_bus,
        data_out => data_bus,
        clk      => clk,
        rst_n    => rst_n
    );
end architecture behavior;

このサンプルコードは、DataInterfaceというエンティティを定義しており、8ビットのデータ入力ポートdata_in、8ビットのデータ出力ポートdata_out、クロックclk、リセット信号rst_nを持っています。

リセットがアクティブの時、出力data_outは全て’0’にリセットされ、クロックの立ち上がりエッジでdata_inの値がdata_outにコピーされます。

次に、MainModuleエンティティ内でこのインターフェースを使用してインスタンスU1を生成しています。

このインスタンスを利用することで、モジュール内部の信号data_busDataInterfaceエンティティのdata_inおよびdata_outが接続され、データの送受信が行われます。

このようなインターフェースを持ったインスタンスを作成することにより、システム全体のモジュールの接続やデータの流れを視覚的に理解しやすくなります。

さらに、再利用や拡張も容易になります。

実際にこのコードを実行すると、data_busの値がDataInterfaceのインスタンスを通じて同期的に転送されることが確認できます。

このように、VHDLのインターフェースを活用することで、モジュール間のデータ転送や信号の管理が効率的に行えるのです。

○サンプルコード8:ジェネリックを使用したインスタンスのカスタマイズ

ジェネリックはVHDLでの非常に有用な機能で、モジュールの振る舞いや構造をパラメータによって変更することができます。

一つのモジュールを設計し、そのジェネリックの値を変えることで異なる動作や構造を持つ複数のインスタンスを生成することが可能となります。

このコードではジェネリックを使ってデータ幅を指定することで、可変のデータ幅を持つアダーのコードを紹介しています。

この例では、ジェネリックWIDTHを用いてデータ幅を指定し、この幅に基づいて加算を行っています。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity generic_adder is
    generic ( WIDTH : integer := 8 );  -- デフォルトのデータ幅は8
    port ( 
        A : in  STD_LOGIC_VECTOR(WIDTH-1 downto 0);
        B : in  STD_LOGIC_VECTOR(WIDTH-1 downto 0);
        SUM : out STD_LOGIC_VECTOR(WIDTH-1 downto 0)
    );
end generic_adder;

architecture behavior of generic_adder is
begin
    SUM <= A + B;  -- 加算を行う
end behavior;

このジェネリックWIDTHの値を変更することで、例えば4ビット、16ビット、32ビットなど、様々なビット幅のアダーを生成することができます。

例えば、次ようにインスタンス化を行う場合、

adder_instance : generic_adder
    generic map ( WIDTH => 4 )   -- 4ビット幅のアダーを生成
    port map ( A => inputA, B => inputB, SUM => outputSum );

このインスタンス化により、inputAinputBがそれぞれ4ビットの入力として、outputSumが4ビットの出力として動作するアダーが生成されます。

このような特性は、特に再利用可能なモジュールを設計する際や、システムのスケーラビリティを考慮する必要がある場合に非常に役立ちます。

実際の設計フローでは、同じモジュールを異なる部分で異なるビット幅や動作で使用したい場合が頻繁にあり、ジェネリックの使用はそのようなニーズに応えるための強力なツールとなります。

さて、上記のサンプルコードを実際に実行すると、指定されたビット幅に基づいて2つの入力を加算した結果がSUMとして出力されます。

4ビットのアダーの場合、inputAinputBがそれぞれ4'b00104'b0101だった場合、outputSum4'b0111となります。

ジェネリックを使ったモジュールの設計は、再利用性を高めるだけでなく、プロジェクトの規模が大きくなったときのメンテナンスの手間も減らすことができます。

ジェネリックの値を変更するだけで、異なる動作をするインスタンスを簡単に生成することができるため、モジュールの変更や追加が必要になった場合の作業量が大幅に削減されます。

○サンプルコード9:テストベンチでのインスタンス利用方法

VHDLで設計を行う際には、設計した回路が期待通りの動作をするか確認するためのテストベンチが不可欠です。

テストベンチでは、主としてシミュレーションを行うための環境を提供します。

ここでは、テストベンチ内でインスタンスを利用する方法を取り上げます。

このコードではテストベンチ内で基本的なインスタンスを作成し、シミュレーションを実行して回路の動作を確認する方法を紹介しています。

この例ではテストベンチを用いてインスタンスを生成し、シミュレーションを実行しています。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

-- テスト対象のモジュールを定義
entity target_module is
    Port ( a : in  STD_LOGIC;
           b : out STD_LOGIC);
end target_module;

architecture behavior of target_module is
begin
    b <= a;
end behavior;

-- テストベンチの定義
entity tb_target_module is
end tb_target_module;

architecture sim of tb_target_module is
    signal test_a, test_b: STD_LOGIC;

    -- テスト対象のインスタンスを生成
    UUT: entity work.target_module
         port map (a => test_a, b => test_b);

begin
    test_a <= '1';  -- シミュレーションのためのテストパターンを与える
end sim;

このサンプルコードでは、target_moduleという回路を定義し、その動作を確認するためのテストベンチtb_target_moduleを作成しています。

テストベンチ内でUUTという名前でインスタンスを生成し、シミュレーションのためのテストパターンをtest_aという信号に与えています。

このシミュレーションを実行すると、test_aに与えた信号がtest_bにそのまま出力されることが確認できます。

これは、target_moduleの動作として、入力信号aがそのまま出力信号bに出力されることを期待しているため、この結果は期待通りです。

このように、テストベンチを使用することで、設計した回路の動作を効率的に確認することができます。

特に、複雑な回路の場合や、実際のハードウェア上での動作確認が困難な場合には、シミュレーションによる確認が非常に有効です。

注意点として、テストベンチ内で生成するインスタンスは、シミュレーションの目的に合わせて適切に選択する必要があります。

また、シミュレーションの結果を解析する際には、期待する動作との差異を正確にキャッチするための工夫が必要です。

○サンプルコード10:複雑なロジックを持つインスタンスの作成

VHDLプログラミングの中で、時として複雑なロジックを持つインスタンスを作成する必要が出てきます。

こうした複雑なロジックを持つインスタンスは、システムの全体的な動作をより効率的に、また最適化して動作させるために使用されることが多いです。

ここでは、複雑なロジックを持つインスタンスの作成方法を具体的なサンプルコードと共に詳しく解説します。

-- 複雑なロジックを持つモジュールの定義
module complex_logic (
  input  a,
  input  b,
  output result
);
-- ここに複雑なロジックを記述
-- 例: 2つの入力に基づいて、特定の計算を行い、その結果を出力する
result = (a and b) or (not a and not b);
end module;

このコードでは、2つの入力abに基づいて特定の計算を行い、その結果をresultという出力に渡しています。

この例では、入力の両方が真または偽の場合にのみresultが真になるという複雑なロジックを実装しています。

このような複雑なロジックを持つモジュールは、大きなシステムの中で小さな部分として動作することが多いです。

したがって、その動作を正確に理解し、適切に実装することが非常に重要です。

次に、このモジュールをインスタンス化する方法を見てみましょう。

-- 複雑なロジックを持つモジュールのインスタンス化
module main;
  wire res;
  reg in1, in2;

  -- 複雑なロジックのインスタンスを作成
  complex_logic logic_instance (
    .a(in1),
    .b(in2),
    .result(res)
  );

  initial begin
    in1 = 0; in2 = 0; // 入力値の初期化
  end
end module;

このサンプルコードでは、先程定義した複雑なロジックを持つモジュールcomplex_logicのインスタンスを作成しています。

入力abには、それぞれin1in2が接続され、出力resultresというワイヤに接続されています。

このサンプルコードを実行すると、入力値に応じてresの値が変わることが観察できます。

具体的には、in1in2の両方が0の場合や、両方が1の場合に、resが1になります。

それ以外の場合は、resは0になります。

●VHDLインスタンスの注意点と対処法

VHDLのインスタンスを使用する際には、いくつかの注意点があります。

特に、大きなシステムを設計する際や、複数の人が共同で作業する際には、注意が必要です。

①名前の衝突

インスタンス化するモジュールが多くなると、名前の衝突のリスクが高まります。

この問題を避けるためには、明確で一意の名前を使用することが推奨されます。

②パラメータの不一致

インスタンスを作成する際に、パラメータの名前や数がモジュールの定義と一致していることを確認することが必要です。

不一致があると、意図しない動作やエラーが発生する可能性があります。

③リソースの制約

一つのFPGAチップ上に多くのインスタンスを配置する場合、リソースの制約に注意する必要があります。

リソースが不足すると、システムの動作に影響が出る可能性があります。

これらの注意点を理解し、適切な対処法を取ることで、VHDLのインスタンスを効果的に使用することができます。

●VHDLインスタンスのカスタマイズ方法

VHDLのインスタンスは、特定の目的や要件に合わせてカスタマイズすることができます。

ここでは、インスタンスのカスタマイズ方法についていくつかの方法を紹介します。

①ジェネリックの使用

ジェネリックを使用することで、モジュールの動作を外部から変更することができます。

これにより、同じモジュールを異なる動作で複数回インスタンス化することが可能になります。

②ポートマップの変更

ポートマップを変更することで、インスタンスの入出力を変更することができます。

これにより、システムの全体的な接続を柔軟に設計することができます。

③サブモジュールの組み合わせ

複数のサブモジュールを組み合わせることで、より複雑な動作を持つインスタンスを作成することができます。

これらのカスタマイズ方法を活用することで、VHDLのインスタンスを様々なシステムに適用することができます。

まとめ

この記事では、VHDLのインスタンスに関する基本的な知識から応用までを徹底的に解説しました。

VHDLプログラミングにおけるインスタンスの作成や利用方法、注意点やカスタマイズ方法など、多岐にわたる情報を提供しました。

VHDLのインスタンスを効果的に使用するためのハンドブックとして、この記事が役立つことを願っています。