VHDLでマスターする32ビット以上カウンタ作成の5ステップ

VHDLを使用して32ビット以上のカウンタを作成する方法を表すイメージVHDL
この記事は約27分で読めます。

 

【サイト内のコードはご自由に個人利用・商用利用いただけます】

この記事では、プログラム(回路記述)の基礎知識を前提に話を進めています。

説明のためのコードや、サンプルコードもありますので、もちろん初心者でも理解できるように表現してあります。

基本的な知識があればカスタムコードを使って機能追加、目的を達成できるように作ってあります。

※この記事は、一般的にプロフェッショナルの指標とされる『実務経験10,000時間以上』を凌駕する現役のプログラマチームによって監修されています。

サイト内のコードを共有する場合は、参照元として引用して下さいますと幸いです

※Japanシーモアは、常に解説内容のわかりやすさや記事の品質に注力しております。不具合、分かりにくい説明や不適切な表現、動かないコードなど気になることがございましたら、記事の品質向上の為にお問い合わせフォームにてご共有いただけますと幸いです。
(送信された情報は、プライバシーポリシーのもと、厳正に取扱い、処分させていただきます。)

はじめに

VHDLは、デジタル回路の設計とシミュレーションを目的として開発されたハードウェア記述言語です。

この記事では、VHDLを利用して32ビット以上のカウンタを作成する具体的な手法を、サンプルコード付きでご紹介します。

カウンタとは、特定のタイミングごとに数値を増加させるデジタル回路のことを指します。

例えば、1秒ごとに1増加する秒数カウンタや、クロック信号ごとに1増加する単純なカウンタなどがあります。

近年、32ビット以上の広範なビット数を持つカウンタの需要が増してきています。

その背景や、VHDLを使用したカウンタの実装方法、注意点、カスタマイズ方法まで、この記事で網羅的に解説していきます。

特に初心者の方でも分かりやすくなるように、詳細なサンプルコードとその解説を交えてご紹介します。

●VHDLとは?

VHDL(VHSIC Hardware Description Language)は、電子回路の設計やシミュレーションのための言語です。

VHSICはVery High-Speed Integrated Circuitの略で、高速な集積回路の設計を効果的に行うためのものとして、1980年代初頭にアメリカ国防総省の支援を受けて開発されました。

○VHDLの基本

VHDLは、ハードウェアの振る舞いや構造を記述するための言語として使用されます。

ソフトウェアのプログラム言語とは異なり、並列処理の特性を持つハードウェアの動作を正確にモデル化することができます。

例えば、簡単なANDゲートの動作を記述したVHDLのサンプルコードを紹介します。

-- ANDゲートの記述
ENTITY and_gate IS
PORT ( 
    A : IN BIT; 
    B : IN BIT; 
    Y : OUT BIT 
);
END ENTITY and_gate;

ARCHITECTURE behavior OF and_gate IS
BEGIN
    Y <= A AND B;
END ARCHITECTURE behavior;

このコードでは、二つの入力AとBを受け取り、それらのAND演算の結果をYとして出力します。

○VHDLの歴史

VHDLの開発は、1980年代にアメリカ国防総省のVHSICプロジェクトの一環として始まりました。

当時、複雑かつ高性能なミリタリー用の集積回路の設計が求められていたため、その要求を満たすための新しい言語としてVHDLが誕生しました。

その後、VHDLは民間の電子設計分野でも採用されるようになり、多くの集積回路やFPGAの設計に使用されるようになりました。

特にFPGAの登場により、VHDLの重要性はさらに増してきました。

FPGAはプログラマブルなデジタルロジックデバイスで、VHDLを使って設計したハードウェアロジックを実装することができます。

現在では、VHDLは電子工学者やデジタルデザインエンジニアにとって欠かせないツールの一つとなっています。

その理由としては、VHDLが提供する抽象的な記述方法により、高度なシミュレーションや検証が可能となるためです。

●32ビット以上のカウンタの基礎

VHDLを用いてデジタル回路の設計を行う際、カウンタは最も基本的な部品の一つと言えるでしょう。

特に、32ビット以上の大容量カウンタは高度なアプリケーションで求められることが多くなっています。

しかし、なぜ32ビット以上のカウンタが重要なのか、基本的な動作原理はどのようなものなのかを理解することは、初心者にとって非常に有益です。

○なぜ32ビット以上なのか

デジタルデバイスが進化し続ける現代、データの扱いや処理のスピード要求は増加の一途を辿っています。

32ビット以上のカウンタは、大量のデータを高速に処理する必要があるアプリケーションや、長時間のタイミングを制御する場面などで不可欠となってきています。

例えば、セキュリティを強化するためのランダムな値を生成したり、高精度な時間管理を行うタイマーとして使用する場面が考えられます。

○基本的なカウンタの動作原理

カウンタは、クロック信号に同期して、定義されたビット数の範囲内で数を増やしていくデジタル回路です。

例えば、2ビットのカウンタは、00, 01, 10, 11の順番で数を増やしていきます。

このコードでは、32ビットカウンタの基本的な動作を表しています。

この例では、クロック信号が入力されるたびにカウンタが増加し、最大値に達したら0にリセットされる動作をしています。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity counter32 is
    Port ( clk : in STD_LOGIC;
           rst : in STD_LOGIC;
           count : out STD_LOGIC_VECTOR(31 downto 0));
end counter32;

architecture Behavioral of counter32 is
    signal temp_count : STD_LOGIC_VECTOR(31 downto 0) := (others => '0');
begin
    process(clk, rst)
    begin
        if rst = '1' then
            temp_count <= (others => '0');
        elsif rising_edge(clk) then
            temp_count <= temp_count + 1;
        end if;
    end process;

    count <= temp_count;
end Behavioral;

このコードを実装して実行すると、クロックの立ち上がりエッジごとにcountの値が増加し、32ビットすべてが1になると、次のクロックで0に戻ります。

つまり、約43億回クロックが立ち上がるごとに一周するカウンタとなります。

●VHDLを使ったカウンタ作成のステップ

VHDLは、論理設計の世界で欠かせない言語として知られています。

その強力な機能を活用することで、32ビット以上のカウンタの作成もスムーズに行えます。

初心者でも理解しやすいよう、この記事ではVHDLを使った32ビット以上のカウンタ作成のステップを5つの段階で詳しく解説していきます。

○サンプルコード1:32ビットカウンタの基本形

まずは、32ビットカウンタの基本形を見ていきましょう。

このコードでは、シンプルなアップカウンタを設計しています。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity counter32 is
    Port ( clk : in STD_LOGIC;
           rst : in STD_LOGIC;
           count : out STD_LOGIC_VECTOR(31 downto 0));
end counter32;

architecture Behavioral of counter32 is
    signal cnt : STD_LOGIC_VECTOR(31 downto 0) := (others => '0');
begin
    process(clk, rst)
    begin
        if rst = '1' then
            cnt <= (others => '0');
        elsif rising_edge(clk) then
            cnt <= cnt + 1;
        end if;
    end process;

    count <= cnt;
end Behavioral;

この例では、clkにクロック信号を、rstにリセット信号を入力し、32ビットのカウンタcountを出力する構造になっています。

リセット信号がアクティブのとき、カウンタは0にリセットされ、クロックの立ち上がりエッジでカウントアップします。

クロックごとにカウンタの値は1増加し、この動きを観察することで、正常に動作しているかを確認できます。

○サンプルコード2:オーバーフロー検知機能の追加

32ビットカウンタの次のステップとして、オーバーフローを検知する機能を追加します。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity counter32_with_overflow is
    Port ( clk : in STD_LOGIC;
           rst : in STD_LOGIC;
           count : out STD_LOGIC_VECTOR(31 downto 0);
           overflow : out STD_LOGIC);
end counter32_with_overflow;

architecture Behavioral of counter32_with_overflow is
    signal cnt : STD_LOGIC_VECTOR(31 downto 0) := (others => '0');
begin
    process(clk, rst)
    begin
        if rst = '1' then
            cnt <= (others => '0');
            overflow <= '0';
        elsif rising_edge(clk) then
            if cnt = "11111111111111111111111111111111" then
                overflow <= '1';
                cnt <= (others => '0');
            else
                overflow <= '0';
                cnt <= cnt + 1;
            end if;
        end if;
    end process;

    count <= cnt;
end Behavioral;

この例では、カウンタが最大値に到達した際に、overflow信号をアクティブにして、カウンタがオーバーフローしたことを外部に通知します。

同時にカウンタは0にリセットされます。

この機能を追加することで、カウンタが最大値を超えても安全に動作させることができます。

○サンプルコード3:リセット機能の追加

次に、任意のタイミングでカウンタをリセットできる機能を追加します。

-- コードの省略 --

architecture Behavioral of counter32_resettable is
    signal cnt : STD_LOGIC_VECTOR(31 downto 0) := (others => '0');
begin
    process(clk, rst, reset_counter)
    begin
        if rst = '1' or reset_counter = '1' then
            cnt <= (others => '0');
        elsif rising_edge(clk) then
            cnt <= cnt + 1;
        end if;
    end process;

    count <= cnt;
end Behavioral;

このコードでは、新たにreset_counter信号を追加し、この信号がアクティブの場合、カウンタを強制的に0にリセットします。

任意のタイミングでカウンタをリセットすることができるため、より柔軟なカウンタ制御が可能になります。

○サンプルコード4:任意のビット数でのカウンタの拡張方法

VHDLを使ってカウンタを設計する際、32ビットだけでなく任意のビット数でカウンタを動作させたい場面もあるでしょう。

ここでは、ジェネリクスを活用して任意のビット数でのカウンタを拡張する方法を詳しく解説します。

このコードでは、ジェネリクスを使ってビット数を柔軟に変更できるカウンタを表しています。

この例では、BIT_WIDTHというジェネリクスを定義し、それに基づいてカウンタの動作を制御しています。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity flexible_counter is
    generic ( BIT_WIDTH : integer := 32 );
    Port ( clk : in STD_LOGIC;
           rst : in STD_LOGIC;
           count : out STD_LOGIC_VECTOR(BIT_WIDTH-1 downto 0));
end flexible_counter;

architecture Behavioral of flexible_counter is
    signal cnt : STD_LOGIC_VECTOR(BIT_WIDTH-1 downto 0) := (others => '0');
begin
    process(clk, rst)
    begin
        if rst = '1' then
            cnt <= (others => '0');
        elsif rising_edge(clk) then
            cnt <= cnt + 1;
        end if;
    end process;

    count <= cnt;
end Behavioral;

この設計では、BIT_WIDTHのデフォルト値は32として設定していますが、インスタンス化する際に異なる値を指定することで、例えば16ビットや64ビットのカウンタとしても利用できます。

例えば、次のようにして64ビットのカウンタを作成できます。

my_counter: flexible_counter
    generic map ( BIT_WIDTH => 64 )
    port map ( clk, rst, count_output );

この例では、64ビットカウンタとしてflexible_counterをインスタンス化しています。

このように、ジェネリクスを利用することで一つの設計を複数の場面で再利用することができるため、開発の効率が大幅に向上します。

実際にこのコードをFPGAやシミュレータ上で動かすと、ジェネリクスで指定したビット数に応じてカウンタが動作します。

たとえば、32ビットの場合、カウンタは0から4294967295までの値を取ることができます。

64ビットの場合は、その範囲がさらに広がります。

この特性を活かして、必要なビット数に合わせたカウンタの動作を実現することができます。

○サンプルコード5:カウンタの動作テストベンチの作成

VHDLにおけるカウンタの動作を正しく理解するためには、テストベンチの作成が不可欠です。

テストベンチは、設計したカウンタが期待した通りの動作をするかをシミュレーションで確認するためのツールです。

ここでは、32ビット以上のカウンタをテストするためのVHDLテストベンチの作成方法を解説します。

まず、次のコードを参照してください。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity counter_tb is
end counter_tb;

architecture SIM of counter_tb is
    signal clk : std_logic := '0';
    signal rst : std_logic := '0';
    signal count_out : std_logic_vector(31 downto 0);

    -- カウンタインスタンス
    component counter
    port (
        clk : in std_logic;
        rst : in std_logic;
        count_out : out std_logic_vector(31 downto 0)
    );
    end component;

begin
    UUT : counter
    port map (
        clk => clk,
        rst => rst,
        count_out => count_out
    );

    -- クロック生成
    clk_process : process
    begin
        wait for 10 ns;
        clk <= not clk;
    end process;

    -- テストシーケンス
    test_seq : process
    begin
        wait for 20 ns;
        rst <= '1';
        wait for 40 ns;
        rst <= '0';
        wait;
    end process;
end SIM;

このコードでは、32ビットカウンタをテストするためのテストベンチを表しています。

この例では、10nsごとにクロックが切り替わり、20ns後にリセットがアクティブ化され、さらに40ns後にリセットが非アクティブ化されるシーケンスを作成しています。

実際のシミュレーションを行うと、リセットがアクティブ化されたときにカウンタの出力が0にリセットされ、その後、クロックの立ち上がりごとにカウンタがインクリメントされることを確認できます。

このテストベンチを利用することで、カウンタが正しく動作しているか、期待した動作をしているかを簡単に確認することができます。

特に、初心者の方はこのテストベンチの作成と利用を積極的に行うことで、VHDLでのデザインの理解を深めることができます。

次に、このテストベンチを実際に利用してカウンタの動作を確認した場合の結果について説明します。

シミュレーションを開始すると、カウンタの出力が0から開始し、クロックの立ち上がり毎に1ずつ増加していきます。

リセットがアクティブになった時点で、カウンタの出力は瞬時に0に戻ることが確認できます。

リセットが非アクティブになった後、カウンタは再びインクリメントを開始します。

このように、テストベンチを使用してシミュレーションを行うことで、VHDLで設計したカウンタが正しく動作しているかを確認できるのです。

●カウンタの応用例

VHDLで作成された32ビット以上のカウンタは、その基本的な機能だけではなく、さまざまな応用例を持っています。

ここでは、特によく使われるLED点滅制御とPWM制御の2つの例を取り上げ、サンプルコードとともに説明します。

○サンプルコード6:カウンタを使ったLED点滅制御

このコードでは、32ビットカウンタを使ってLEDを一定の間隔で点滅させるコードを表しています。

この例では、カウンタの値が特定の値に達した時にLEDの状態を切り替えています。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity led_blink is
    Port ( clk : in  STD_LOGIC;
           led : out  STD_LOGIC);
end led_blink;

architecture Behavior of led_blink is
    signal counter: STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
begin
    process(clk)
    begin
        if rising_edge(clk) then
            counter <= counter + 1;
            if counter = "00000000001111111111111111111111" then
                led <= not led;
                counter <= "00000000000000000000000000000000";
            end if;
        end if;
    end process;
end Behavior;

上記のサンプルコードでは、カウンタが2^30までカウントアップした際にLEDの状態を反転させる仕組みとなっています。

このタイミングでLEDが点灯または消灯します。

この間隔はクロックの周波数に依存するため、実際の動作を確認する際にはクロックの速度も考慮する必要があります。

○サンプルコード7:カウンタを用いたPWM制御

次に、32ビットカウンタを利用してPWM(Pulse Width Modulation)制御を行う方法を表します。

この例では、カウンタの値を比較してPWMのデューティ比を制御しています。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity pwm_control is
    Port ( clk : in  STD_LOGIC;
           pwm_out : out  STD_LOGIC);
end pwm_control;

architecture Behavior of pwm_control is
    signal counter: STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
    constant duty_cycle: STD_LOGIC_VECTOR(31 downto 0) := "00000000001111111111111111111111";
begin
    process(clk)
    begin
        if rising_edge(clk) then
            counter <= counter + 1;
            if counter < duty_cycle then
                pwm_out <= '1';
            else
                pwm_out <= '0';
            end if;
        end if;
    end process;
end Behavior;

このサンプルコードでは、カウンタの値がduty_cycleよりも小さい間はpwm_outを’1’に、それ以上の場合は’0’に設定しています。

これにより、PWMの出力波形を生成することができます。

duty_cycleの値を変更することで、PWMのデューティ比を簡単に調整することができます。

カウンタの値が増加する度にPWMの出力が変わるため、LEDの明るさやモーターの速度などを制御する際に非常に有効です。

この方法を使用すると、VHDLを利用して高精度なアナログ制御が可能になります。

●注意点と対処法

32ビット以上のカウンタをVHDLで設計する際には、様々な問題や落とし穴が存在します。

これらの問題を理解し、適切な対処法を身につけることで、効果的なカウンタ設計が可能となります。

○ビット数の拡張に伴う問題点

VHDLでカウンタを設計する際、ビット数を増やしていくと、次のような問題が考えられます。

  • 計算時間の増加
  • オーバーヘッドの増大
  • ハードウェアリソースの増加

これらの問題を解決するためには、適切な設計と最適化が必要です。

このコードではVHDLを使って32ビットカウンタの動作を確認するコードを表しています。

この例では32ビットカウンタの動作時に発生する問題を確認し、それを最小限に抑えるための最適化方法を学びます。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity counter_32bit is
    Port ( clk : in STD_LOGIC;
           reset : in STD_LOGIC;
           count : out STD_LOGIC_VECTOR(31 downto 0));
end counter_32bit;

architecture Behavioral of counter_32bit is
    signal cnt : STD_LOGIC_VECTOR(31 downto 0) := "00000000000000000000000000000000";
begin
    process(clk, reset)
    begin
        if reset = '1' then
            cnt <= "00000000000000000000000000000000";
        elsif rising_edge(clk) then
            cnt <= cnt + 1;
        end if;
    end process;

    count <= cnt;
end Behavioral;

上記のコードは、32ビットのカウンタを実装したものです。

クロックの立ち上がりエッジごとにカウントが増加し、リセットがアクティブのときにカウンタは0にリセットされます。

しかし、ビット数が増えると、これらの動作が遅延する可能性が考えられます。

適切なクロック周波数を選択し、ハードウェアリソースを効果的に利用することが重要です。

○オーバーフローの取り扱い

カウンタが最大値に達すると、次のカウントでオーバーフローが発生します。

この状態を適切に取り扱わないと、意図しない動作を引き起こす可能性があります。

このコードではVHDLを使って32ビットカウンタのオーバーフローを検知するコードを表しています。

この例では、カウンタがオーバーフローしたときに特定のアクションを起こす方法を表しています。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity overflow_detector is
    Port ( clk : in STD_LOGIC;
           reset : in STD_LOGIC;
           overflow : out STD_LOGIC);
end overflow_detector;

architecture Behavioral of overflow_detector is
    signal cnt : STD_LOGIC_VECTOR(31 downto 0) := "00000000000000000000000000000000";
    signal overflow_flag : STD_LOGIC := '0';
begin
    process(clk, reset)
    begin
        if reset = '1' then
            cnt <= "00000000000000000000000000000000";
            overflow_flag <= '0';
        elsif rising_edge(clk) then
            if cnt = "11111111111111111111111111111111" then
                overflow_flag <= '1';
            else
                overflow_flag <= '0';
                cnt <= cnt + 1;
            end if;
        end if;
    end process;

    overflow <= overflow_flag;
end Behavioral;

上記のコードは、カウンタのオーバーフローを検知して、オーバーフローフラグをセットするものです。

オーバーフローフラグがセットされると、外部の回路やシステムにその情報を通知することができます。

●カスタマイズの方法

VHDLを使用して32ビット以上のカウンタを設計する過程で、特定のプロジェクトやアプリケーションに合わせてカスタマイズする必要が生じることがあります。この章では、最も一般的なカスタマイズの方法とその詳細な手順を、実際のサンプルコードを交えてご紹介します。

○任意のビット数への対応

多くの場面で、32ビット以上の特定のビット数のカウンタを作成する必要があります。たとえば、40ビットや50ビットのカウンタなど、プロジェクトの要件に応じてビット数を変更する必要があります。

このコードでは、VHDLを使って任意のビット数のカウンタを作成する方法を紹介しています。この例では、40ビットのカウンタを設計しています。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity counter_custom is
    Port ( clk : in STD_LOGIC;
           rst : in STD_LOGIC;
           count : out STD_LOGIC_VECTOR(39 downto 0));
end counter_custom;

architecture Behavioral of counter_custom is
    signal tmp_count : STD_LOGIC_VECTOR(39 downto 0) := (others => '0');
begin
    process(clk, rst)
    begin
        if rst = '1' then
            tmp_count <= (others => '0');
        elsif rising_edge(clk) then
            tmp_count <= tmp_count + 1;
        end if;
    end process;
    count <= tmp_count;
end Behavioral;

上記のコードで、STD_LOGIC_VECTOR(39 downto 0)は40ビットのカウンタを示しています。この数値を変更することで、任意のビット数のカウンタを簡単に作成することができます。

このようにしてカウンタを40ビットに設定した場合、0から(2^40)-1までの範囲でカウントアップすることが可能です。

○異なるクロック周波数での動作

クロック周波数によって、カウンタの動作速度が変わります。異なるクロック周波数でカウンタを動作させる場合、カウンタの動作を適切に調整する必要があります。

このコードでは、クロック周波数を変更する方法を紹介しています。この例では、特定のクロック周期数ごとにカウントアップする方法を取り入れています。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity counter_freq is
    Port ( clk : in STD_LOGIC;
           rst : in STD_LOGIC;
           count : out STD_LOGIC_VECTOR(31 downto 0));
end counter_freq;

architecture Behavioral of counter_freq is
    signal tmp_count : STD_LOGIC_VECTOR(31 downto 0) := (others => '0');
    signal clk_count : INTEGER := 0;
    constant CLK_DIVIDER : INTEGER := 10; -- ここを変更してクロック周波数を調整
begin
    process(clk, rst)
    begin
        if rst = '1' then
            tmp_count <= (others => '0');
            clk_count <= 0;
        elsif rising_edge(clk) then
            if clk_count = CLK_DIVIDER - 1 then
                tmp_count <= tmp_count + 1;
                clk_count <= 0;
            else
                clk_count <= clk_count + 1;
            end if;
        end if;
    end process;
    count <= tmp_count;
end Behavioral;

このコードでは、CLK_DIVIDERを変更することで、カウンタの動作周波数を変更できます。CLK_DIVIDERが10の場合、10クロック周期ごとに1回カウントアップします。

カウンタの動作周波数を変更することで、異なるクロック周波数の環境でも適切にカウンタを動作させることができます。

まとめ

この記事では、VHDLを使用して32ビット以上のカウンタを設計し、さまざまなカスタマイズの方法を詳しく解説しました。

VHDLの柔軟性を活かして、プロジェクトの要件に合わせてカウンタをカスタマイズすることができます。

この記事が参考になれば幸いです。