読み込み中...

VHDLで下位モジュールを呼び出す基本と活用11選

下位モジュール 徹底解説 VHDL
この記事は約32分で読めます。

【サイト内のコードはご自由に個人利用・商用利用いただけます】

この記事では、プログラム(回路記述)の基礎知識を前提に話を進めています。

説明のためのコードや、サンプルコードもありますので、もちろん初心者でも理解できるように表現してあります。

本記事のサンプルコードを活用して機能追加、目的を達成できるように作ってありますので、是非ご活用ください。

※この記事は、一般的にプロフェッショナルの指標とされる『実務経験10,000時間以上』を満たす現役のプログラマチームによって監修されています。

※Japanシーモアは、常に解説内容のわかりやすさや記事の品質に注力しております。不具合、分かりにくい説明や不適切な表現、動かないコードなど気になることがございましたら、記事の品質向上の為にお問い合わせフォームにてご共有いただけますと幸いです。
(送信された情報は、プライバシーポリシーのもと、厳正に取扱い、処分させていただきます。)

●VHDLの下位モジュールとは?

VHDLで大規模な回路設計を行う際、下位モジュールの活用が不可欠です。

下位モジュールとは、大きな回路を構成する小さな部品のようなものです。

電子回路の分野で、複雑な機能を持つ大規模集積回路(LSI)を一から設計するのは非常に困難です。

そこで、機能ごとに回路を分割し、それぞれを独立したモジュールとして設計することが一般的です。

VHDLにおける下位モジュールは、この考え方を反映したものです。

大規模な回路設計を複数の小さな部品に分割することで、設計の複雑さを軽減し、効率的な開発が可能となります。

例えば、コンピュータのCPUを設計する場合、演算器や制御回路、メモリインターフェースなどを個別の下位モジュールとして実装できます。

○下位モジュールが設計効率を向上させる理由

下位モジュールを活用することで、設計効率が大幅に向上します。

その理由は主に3つあります。

1つ目は、設計の再利用性です。

一度作成した下位モジュールは、他のプロジェクトでも再利用できます。

例えば、加算器や乗算器などの基本的な演算回路を下位モジュールとして実装しておけば、異なるプロジェクトでも容易に組み込むことができます。

2つ目は、デバッグの容易さです。

大規模な回路を一つの塊として設計すると、エラーの発見や修正が非常に困難です。

下位モジュールに分割することで、各部分を独立してテストでき、問題の特定と修正が容易になります。

3つ目は、チーム開発の効率化です。

大規模プロジェクトでは、複数のエンジニアが協力して設計を行います。

下位モジュールを使用することで、各エンジニアが担当する部分を明確に分けられ、並行して作業を進めることができます。

○VHDLの基本構造

VHDLの基本構造を理解することは、下位モジュールを効果的に活用する上で重要です。

VHDLの設計単位は、主にエンティティ(entity)とアーキテクチャ(architecture)から構成されます。

エンティティは、モジュールのインターフェースを定義します。

入力ポートと出力ポートを指定し、外部とのデータのやり取りを定義します。

アーキテクチャは、そのモジュールの内部動作を記述します。具体的な論理回路や信号の処理方法を定義します。

エンティティとアーキテクチャの関係は、建築物に例えるとわかりやすいでしょう。

エンティティは建物の外観や出入り口を定義し、アーキテクチャは内部の間取りや設備を記述するようなものです。

○サンプルコード1:基本的な下位モジュール構造

VHDLで基本的な下位モジュールを作成する例を見てみましょう。

ここでは、2つの入力を加算する簡単な加算器を実装します。

-- エンティティ定義
entity adder is
    Port ( a : in  STD_LOGIC_VECTOR (3 downto 0);
           b : in  STD_LOGIC_VECTOR (3 downto 0);
           sum : out STD_LOGIC_VECTOR (4 downto 0));
end adder;

-- アーキテクチャ定義
architecture Behavioral of adder is
begin
    sum <= ('0' & a) + ('0' & b);
end Behavioral;

このコードでは、4ビットの入力a、bと5ビットの出力sumを持つ加算器を定義しています。アーキテクチャ部分で、実際の加算処理を行っています。’0’を先頭に追加することで、キャリーアウトを考慮した5ビットの結果を得ています。

このモジュールを使用すると、例えば次のような結果が得られます。

入力: a = “1010” (10進数で10), b = “0110” (10進数で6)
出力: sum = “10000” (10進数で16)

加算器モジュールは、様々な回路設計で頻繁に使用される基本的な構成要素です。

このような下位モジュールを作成しておくことで、より複雑な回路設計を効率的に進めることができます。

●下位モジュールの呼び出し方法

下位モジュールを作成したら、次はそれを呼び出して使用する方法を学びましょう。

VHDLでは、下位モジュールを呼び出すために「コンポーネント宣言」と「ポートマッピング」という2つの重要な概念があります。

まず、コンポーネント宣言について説明します。

コンポーネント宣言は、使用したい下位モジュールのインターフェースを現在のモジュールに知らせる役割を果たします。

言わば、使用する部品のカタログを用意するようなものです。

○サンプルコード2:component宣言の書き方

先ほど作成した加算器モジュールを使用する上位モジュールを考えてみましょう。

上位モジュールでコンポーネント宣言を行う例を紹介します。

entity top_module is
    Port ( x : in  STD_LOGIC_VECTOR (3 downto 0);
           y : in  STD_LOGIC_VECTOR (3 downto 0);
           result : out STD_LOGIC_VECTOR (4 downto 0));
end top_module;

architecture Behavioral of top_module is
    -- コンポーネント宣言
    component adder
        Port ( a : in  STD_LOGIC_VECTOR (3 downto 0);
               b : in  STD_LOGIC_VECTOR (3 downto 0);
               sum : out STD_LOGIC_VECTOR (4 downto 0));
    end component;

    -- 内部信号の宣言(必要に応じて)
    -- signal internal_result : STD_LOGIC_VECTOR (4 downto 0);

begin
    -- コンポーネントのインスタンス化とポートマッピングは次のセクションで説明します
end Behavioral;

このコードでは、architectureの中でcomponent宣言を行っています。

宣言の内容は、使用する下位モジュール(この場合はadder)のエンティティ定義と同じになります。

コンポーネント宣言を行うことで、上位モジュールは下位モジュールの存在を認識し、その機能を利用する準備が整います。

○サンプルコード3:ポートマッピングの実践

コンポーネント宣言の次は、実際に下位モジュールを使用するためのポートマッピングです。

ポートマッピングは、上位モジュールの信号と下位モジュールのポートを接続する過程です。

先ほどの上位モジュールにポートマッピングを追加してみましょう。

architecture Behavioral of top_module is
    component adder
        Port ( a : in  STD_LOGIC_VECTOR (3 downto 0);
               b : in  STD_LOGIC_VECTOR (3 downto 0);
               sum : out STD_LOGIC_VECTOR (4 downto 0));
    end component;

begin
    -- ポートマッピング
    adder_instance: adder port map (
        a => x,
        b => y,
        sum => result
    );
end Behavioral;

ここでは、adderコンポーネントのインスタンスを作成し、ポートマッピングを行っています。

上位モジュールの入力xとyを下位モジュールの入力aとbに接続し、下位モジュールの出力sumを上位モジュールの出力resultに接続しています。

上位モジュールを使用すると、次のような結果が得られます。

入力: x = “1100” (10進数で12), y = “0011” (10進数で3)
出力: result = “01111” (10進数で15)

このように、下位モジュールを呼び出すことで、複雑な処理を簡潔に記述できます。

○サンプルコード4:generic使用時の呼び出し

VHDLのgenericは、モジュールの設計をより柔軟にするための機能です。

genericを使用すると、モジュールのパラメータを外部から設定できるようになります。

例えば、ビット幅が可変の加算器を設計し、それを呼び出す例を見てみましょう。

まず、genericを使用した加算器の定義↓

entity generic_adder is
    generic (
        WIDTH : integer := 4
    );
    Port ( a : in  STD_LOGIC_VECTOR (WIDTH-1 downto 0);
           b : in  STD_LOGIC_VECTOR (WIDTH-1 downto 0);
           sum : out STD_LOGIC_VECTOR (WIDTH downto 0));
end generic_adder;

architecture Behavioral of generic_adder is
begin
    sum <= ('0' & a) + ('0' & b);
end Behavioral;

次に、このgeneric_adderを呼び出す上位モジュールの例を見てみましょう。

entity top_module_generic is
    Port ( x : in  STD_LOGIC_VECTOR (7 downto 0);
           y : in  STD_LOGIC_VECTOR (7 downto 0);
           result : out STD_LOGIC_VECTOR (8 downto 0));
end top_module_generic;

architecture Behavioral of top_module_generic is
    component generic_adder
        generic (
            WIDTH : integer := 4
        );
        Port ( a : in  STD_LOGIC_VECTOR (WIDTH-1 downto 0);
               b : in  STD_LOGIC_VECTOR (WIDTH-1 downto 0);
               sum : out STD_LOGIC_VECTOR (WIDTH downto 0));
    end component;

begin
    -- genericを使用したポートマッピング
    adder_instance: generic_adder
    generic map (
        WIDTH => 8
    )
    port map (
        a => x,
        b => y,
        sum => result
    );
end Behavioral;

このコードでは、generic_adderのWIDTHパラメータを8に設定しています。

このモジュールを使用すると、8ビットの入力に対して9ビットの出力を得られます。

入力: x = “10101010” (10進数で170), y = “01010101” (10進数で85)
出力: result = “011111111” (10進数で255)

genericを使用することで、同じモジュールを異なるビット幅で再利用できるようになり、設計の柔軟性が大幅に向上します。

●信号とポートの設定テクニック

VHDLで効率的な回路設計を行うには、信号とポートの適切な設定が欠かせません。

初心者エンジニアにとって、信号とポートの違いや使い分けは少し頭を悩ませる部分かもしれません。

でも心配ありません!塾の先生が教えるように、ゆっくりと解説していきましょう。

○std_logicとstd_logic_vectorの使い分け

VHDLでデジタル回路を設計する際、最もよく使用されるデータ型がstd_logicとstd_logic_vectorです。

両者の違いを理解することは、効率的な回路設計の第一歩となります。

std_logicは、単一のビットを表現するためのデータ型です。

例えば、LEDのオン/オフや、スイッチの状態を表現するのに適しています。

一方、std_logic_vectorは、複数のビットをまとめて扱うためのデータ型です。

バスやレジスタの値を表現する際に使用されます。

使い分けの例を挙げてみましょう。

単純な AND ゲートを設計する場合、入力と出力にstd_logicを使用します。

しかし、8ビットの加算器を設計する場合は、入力と出力にstd_logic_vectorを使用することになります。

○入出力信号の定義と初期化

VHDLでモジュールを設計する際、適切な入出力信号の定義と初期化が重要です。

信号の定義は、エンティティ宣言の中で行います。

入力信号にはin、出力信号にはoutというモードを指定します。

初期化は、アーキテクチャ部分で行います。

信号の初期化を忘れると、シミュレーション時に予期せぬ動作を引き起こす可能性があります。

特に、フリップフロップやカウンタなどの順序回路では、初期値の設定が重要になります。

○サンプルコード6:効率的な信号・ポート設定

それでは、効率的な信号・ポート設定の例として、8ビットカウンタを実装してみましょう。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;

entity counter_8bit is
    Port ( clk : in STD_LOGIC;
           reset : in STD_LOGIC;
           enable : in STD_LOGIC;
           count : out STD_LOGIC_VECTOR (7 downto 0));
end counter_8bit;

architecture Behavioral of counter_8bit is
    signal internal_count : unsigned(7 downto 0) := (others => '0');
begin
    process(clk, reset)
    begin
        if reset = '1' then
            internal_count <= (others => '0');
        elsif rising_edge(clk) then
            if enable = '1' then
                internal_count <= internal_count + 1;
            end if;
        end if;
    end process;

    count <= std_logic_vector(internal_count);
end Behavioral;

このコードでは、まず、エンティティ宣言で入出力ポートを定義しています。

clk、reset、enableは1ビットの入力なのでSTD_LOGICを使用し、countは8ビットの出力なのでSTD_LOGIC_VECTORを使用しています。

アーキテクチャ部分では、内部信号internal_countを定義し、初期値を0に設定しています。

プロセス文の中で、リセット信号が’1’の場合はカウンタを0にリセットし、クロックの立ち上がりエッジでenableが’1’の場合にカウントアップする動作を記述しています。

最後に、internal_countをSTD_LOGIC_VECTORに変換してcount出力に割り当てています。

このモジュールをシミュレーションすると、次のような動作が確認できます。

  1. リセット信号が’1’の間:count = “00000000”
  2. リセット解除後、enableが’1’の場合:クロックの立ち上がりごとにcountが1ずつ増加
  3. enableが’0’の場合:countの値が維持される
  4. 255までカウントアップした後:オーバーフローして0に戻る

●階層設計の活用法

階層設計は、大規模で複雑な回路を効率的に設計するための重要な手法です。

階層設計を活用することで、回路の見通しが良くなり、デバッグや修正が容易になります。

それでは、階層設計の具体的な方法について、詳しく見ていきましょう。

○トップダウンvsボトムアップアプローチ

階層設計には、主にトップダウンアプローチとボトムアップアプローチの2つの方法があります。

両者にはそれぞれ特徴があり、プロジェクトの性質や設計者の好みによって選択されます。

トップダウンアプローチは、システム全体の大まかな構造から始めて、徐々に詳細な部分の設計に進んでいく方法です。例えば、コンピュータシステムの設計を行う場合、まずCPU、メモリ、I/Oインターフェースなどの大きな機能ブロックを定義し、その後各ブロックの内部構造を詳細化していきます。

一方、ボトムアップアプローチは、小さな機能ユニットから始めて、それらを組み合わせて大きなシステムを構築していく方法です。

例えば、論理ゲートレベルの回路から始めて、それらを組み合わせて加算器を作り、さらにALUを構成し、最終的にプロセッサを設計するといった具合です。

どちらのアプローチを選択するかは、プロジェクトの規模や要求仕様、チームの経験などによって異なります。

多くの場合、両方のアプローチを適宜組み合わせて使用することが効果的です。

○プロジェクトファイル管理のベストプラクティス

階層設計を効果的に行うためには、プロジェクトファイルの適切な管理が不可欠です。

ここでは、VHDLプロジェクトのファイル管理におけるベストプラクティスをいくつか紹介します。

  1. モジュールごとにファイルを分ける -> 各モジュール(エンティティとアーキテクチャのペア)は、独立したファイルに記述します。ファイル名はモジュール名と一致させると良いでしょう。例えば、adder.vhdやcounter.vhdなどです。
  2. パッケージの活用 -> 複数のモジュールで共通して使用する定数、型、サブプログラムなどは、パッケージにまとめます。パッケージは別ファイルで管理し、必要なモジュールでuseステートメントを使用してインポートします。
  3. ディレクトリ構造の整理 -> プロジェクトのディレクトリ構造を論理的に整理します。例えば、以下のような構造が考えられます。

/project_root
/src (ソースファイル)
/tb (テストベンチ)
/sim (シミュレーション関連ファイル)
/syn (合成関連ファイル)
/doc (ドキュメント)

  1. バージョン管理システムの利用 -> Git等のバージョン管理システムを使用して、コードの変更履歴を管理します。特に、チーム開発の場合は必須です。
  2. 命名規則の統一 -> プロジェクト全体で一貫した命名規則を使用します。例えば、エンティティ名は大文字始まり、信号名は小文字始まりにするなどです。

○サンプルコード7:階層構造を持つVHDLデザイン

それでは、階層構造を持つVHDLデザインの例として、4ビット加算器を2つ使用して8ビット加算器を構成する例を見てみましょう。

まず、4ビット加算器のコードです。

-- File: adder_4bit.vhd
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;

entity adder_4bit is
    Port ( a : in STD_LOGIC_VECTOR (3 downto 0);
           b : in STD_LOGIC_VECTOR (3 downto 0);
           cin : in STD_LOGIC;
           sum : out STD_LOGIC_VECTOR (3 downto 0);
           cout : out STD_LOGIC);
end adder_4bit;

architecture Behavioral of adder_4bit is
    signal temp : unsigned(4 downto 0);
begin
    temp <= unsigned('0' & a) + unsigned('0' & b) + ("0000" & cin);
    sum <= std_logic_vector(temp(3 downto 0));
    cout <= temp(4);
end Behavioral;

次に、4ビット加算器を2つ使用して8ビット加算器を構成するトップレベルモジュールのコードです。

-- File: adder_8bit.vhd
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity adder_8bit is
    Port ( a : in STD_LOGIC_VECTOR (7 downto 0);
           b : in STD_LOGIC_VECTOR (7 downto 0);
           cin : in STD_LOGIC;
           sum : out STD_LOGIC_VECTOR (7 downto 0);
           cout : out STD_LOGIC);
end adder_8bit;

architecture Structural of adder_8bit is
    component adder_4bit is
        Port ( a : in STD_LOGIC_VECTOR (3 downto 0);
               b : in STD_LOGIC_VECTOR (3 downto 0);
               cin : in STD_LOGIC;
               sum : out STD_LOGIC_VECTOR (3 downto 0);
               cout : out STD_LOGIC);
    end component;

    signal c : STD_LOGIC;
begin
    lower_adder : adder_4bit
        port map ( a => a(3 downto 0),
                   b => b(3 downto 0),
                   cin => cin,
                   sum => sum(3 downto 0),
                   cout => c );

    upper_adder : adder_4bit
        port map ( a => a(7 downto 4),
                   b => b(7 downto 4),
                   cin => c,
                   sum => sum(7 downto 4),
                   cout => cout );
end Structural;

このコードでは、adder_4bitは4ビットの加算器を実装しています。

入力a、b、桁上がり入力cin、出力sum、桁上がり出力coutを持ちます。

adder_8bitは、adder_4bitを2つ使用して8ビット加算器を構成しています。

下位4ビットの加算結果の桁上がりを上位4ビットの加算器のcinに接続することで、8ビット全体の加算を実現しています。

このモジュールをシミュレーションすると、以下のような動作が確認できます。

入力: a = “10101010”, b = “01010101”, cin = ‘0’
出力: sum = “11111111”, cout = ‘0’

入力: a = “11111111”, b = “00000001”, cin = ‘0’
出力: sum = “00000000”, cout = ‘1’

階層設計を使用することで、複雑な回路を小さなモジュールに分割し、それらを組み合わせて大きな機能を実現できます。

この方法により、設計の見通しが良くなり、再利用性も向上します。

●よくあるエラーと対処法

VHDLを使った回路設計において、エラーに遭遇することは珍しくありません。

実際、エラーと格闘することが、設計プロセスの重要な部分を占めることもあります。

ですが、心配いりません!

エラーは学びの機会でもあるのです。

ここでは、よく遭遇するエラーとその対処法について、わかりやすく解説していきます。

○コンパイルエラーの原因と解決策

コンパイルエラーは、VHDLコードを合成ツールやシミュレータが解析する際に発生する問題です。

主な原因と解決策を見ていきましょう。

  1. 文法エラー -> 最も一般的なエラーの一つが文法エラーです。セミコロンの欠落、キーワードのスペルミス、括弧の不一致などが原因となります。

解決策として、エラーメッセージを注意深く読み、指摘された行を確認します。

IDEの文法チェック機能やVHDLリンターを利用するのも効果的です。

  1. ライブラリ未宣言 -> 必要なライブラリ(例:IEEE.std_logic_1164)を宣言し忘れると、型や関数が認識されずエラーになります。

解決策として、コードの冒頭で必要なライブラリをすべて宣言しているか確認します。

標準的なライブラリ宣言をテンプレート化しておくと便利です。

  1. 信号の不一致 -> ポート間の接続で信号の型や幅が一致しない場合、エラーが発生します。

解決策として、接続するポート同士の型と幅を慎重に確認します。

必要に応じて型変換関数(to_unsigned, std_logic_vector など)を使用します。

○シミュレーション時のデバッグテクニック

シミュレーションは設計の正確性を確認する重要なステップです。

効果的なデバッグテクニックを身につけることで、問題の早期発見と解決が可能になります。

  1. 波形ビューアの活用 -> 信号の変化を時系列で確認できる波形ビューアは、デバッグの強力な味方です。

テクニックとして、注目したい信号を波形ビューアに追加し、予期しない変化がないか観察します。

特に、クロックエッジでの信号の遷移に注目します。

  1. アサーションの使用 -> 期待される動作を明示的に記述するアサーションは、バグの早期発見に役立ちます。
assert (count /= "1111") report "カウンタがオーバーフローしました" severity error;
  1. シミュレーション用の出力文の挿入 -> key.write()関数を使用して、シミュレーション中に重要な情報を出力することができます。
process(clk)
begin
    if rising_edge(clk) then
        if reset = '1' then
            count <= (others => '0');
            report "カウンタがリセットされました";
        elsif enable = '1' then
            count <= count + 1;
            report "カウンタの値: " & integer'image(to_integer(unsigned(count)));
        end if;
    end if;
end process;

○タイミング違反の対処方法

タイミング違反は、特にFPGA実装時に問題となるエラーです。

信号が目的の場所に到達するまでに時間がかかりすぎる場合に発生します。

  1. クリティカルパスの特定 -> タイミングレポートを詳細に分析し、最も遅延の大きいパス(クリティカルパス)を特定します。

対策として、クリティカルパスとなっている部分の論理を簡素化したり、パイプライン化を行ったりして、遅延を削減します。

  1. レジスタの挿入 -> 長い組み合わせ論理パスにレジスタを挿入することで、1クロックサイクルあたりの処理を分割し、タイミング違反を解消できることがあります。
process(clk)
begin
    if rising_edge(clk) then
        reg_intermediate <= long_combinational_logic_1(input);
        output <= long_combinational_logic_2(reg_intermediate);
    end if;
end process;
  1. クロック周波数の調整 -> 設計目標を満たせる範囲で、クロック周波数を下げることでタイミング違反を解消できる場合があります。

注意点として、ただし、これはパフォーマンスとのトレードオフになるため、最後の手段として検討すべきです。

●下位モジュールの応用例

ここまでVHDLの基本的な概念と一般的なエラー対処法を解説してきました。

次は、より実践的な下位モジュールの応用例を見ていきましょう。

実際の設計では、様々な状況に対応できる柔軟なモジュールが求められます。

○サンプルコード8:非同期リセットの実装

非同期リセットは、クロックに依存せずにシステムを初期状態に戻すために使用されます。

特に、電源投入時やシステム全体のリセット時に重要です。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;

entity async_counter is
    Port ( clk : in STD_LOGIC;
           reset_n : in STD_LOGIC;  -- アクティブLow非同期リセット
           enable : in STD_LOGIC;
           count : out STD_LOGIC_VECTOR(7 downto 0));
end async_counter;

architecture Behavioral of async_counter is
    signal internal_count : unsigned(7 downto 0);
begin
    process(clk, reset_n)
    begin
        if reset_n = '0' then
            internal_count <= (others => '0');
        elsif rising_edge(clk) then
            if enable = '1' then
                internal_count <= internal_count + 1;
            end if;
        end if;
    end process;

    count <= std_logic_vector(internal_count);
end Behavioral;

上記のコードでは、reset_n信号がクロック信号clkと共にプロセスの感度リストに含まれています。

reset_n = ‘0’の条件がif文の最初に来ているため、リセット信号はクロックエッジを待たずに即座にカウンタをリセットします。

実行結果

  • reset_n = ‘0’の場合 -> count は即座に “00000000” にリセットされます。
  • reset_n = ‘1’、enable = ‘1’の場合 -> クロックの立ち上がりエッジごとにcountが増加します。
  • reset_n = ‘1’、enable = ‘0’の場合 -> countの値が保持されます。

○サンプルコード9:クロックドメイン間の信号受け渡し

異なるクロックドメイン間で信号を受け渡す際は、メタステability(不安定な状態)を避けるために特別な注意が必要です。

2段のフリップフロップを使用する同期化回路が一般的な解決策です。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity clock_domain_crossing is
    Port ( clk_a : in STD_LOGIC;
           clk_b : in STD_LOGIC;
           reset_n : in STD_LOGIC;
           data_in : in STD_LOGIC;
           data_out : out STD_LOGIC);
end clock_domain_crossing;

architecture Behavioral of clock_domain_crossing is
    signal ff1, ff2 : STD_LOGIC;
begin
    -- クロックドメインAからBへの同期化
    process(clk_b, reset_n)
    begin
        if reset_n = '0' then
            ff1 <= '0';
            ff2 <= '0';
        elsif rising_edge(clk_b) then
            ff1 <= data_in;
            ff2 <= ff1;
        end if;
    end process;

    data_out <= ff2;
end Behavioral;

実行結果

  • reset_n = ‘0’の場合 -> ff1, ff2, data_outはすべて’0’にリセットされます。
  • reset_n = ‘1’の場合 -> data_inの値は2つのクロックサイクル(clk_b)を経てdata_outに反映されます。

メタステabilityのリスクは大幅に低減されますが、信号の伝達に2クロックサイクルの遅延が生じることに注意してください。

○サンプルコード10:パラメータ化された下位モジュール

再利用性の高いモジュールを作成するには、パラメータ化が効果的です。

ビット幅や動作を外部から設定できるようにすることで、様々な状況に対応できるモジュールを設計できます。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;

entity parametrized_counter is
    generic (
        WIDTH : integer := 8;
        MAX_COUNT : integer := 255
    );
    Port ( clk : in STD_LOGIC;
           reset_n : in STD_LOGIC;
           enable : in STD_LOGIC;
           count : out STD_LOGIC_VECTOR(WIDTH-1 downto 0));
end parametrized_counter;

architecture Behavioral of parametrized_counter is
    signal internal_count : unsigned(WIDTH-1 downto 0);
begin
    process(clk, reset_n)
    begin
        if reset_n = '0' then
            internal_count <= (others => '0');
        elsif rising_edge(clk) then
            if enable = '1' then
                if internal_count = MAX_COUNT then
                    internal_count <= (others => '0');
                else
                    internal_count <= internal_count + 1;
                end if;
            end if;
        end if;
    end process;

    count <= std_logic_vector(internal_count);
end Behavioral;

このパラメータ化されたカウンタは、ビット幅(WIDTH)と最大カウント値(MAX_COUNT)を外部から設定可能です。

実行結果

  • WIDTH = 4, MAX_COUNT = 9に設定した場合 -> カウンタは “0000” から “1001” までカウントし、その後 “0000” に戻ります。
  • WIDTH = 8, MAX_COUNT = 255(デフォルト値)の場合 -> カウンタは “00000000” から “11111111” までカウントし、その後 “00000000” に戻ります。

○サンプルコード11:再利用可能なライブラリコンポーネント

再利用可能なコンポーネントをライブラリとして作成しておくと、大規模なプロジェクトでの開発効率が大幅に向上します。

ここでは、よく使用される7セグメントディスプレイのデコーダを例に挙げます。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;

entity seven_segment_decoder is
    Port ( bin_input : in STD_LOGIC_VECTOR(3 downto 0);
           seg_output : out STD_LOGIC_VECTOR(6 downto 0));
end seven_segment_decoder;

architecture Behavioral of seven_segment_decoder is
begin
    process(bin_input)
    begin
        case bin_input is
            when "0000" => seg_output <= "1111110"; -- 0
            when "0001" => seg_output <= "0110000"; -- 1
            when "0010" => seg_output <= "1101101"; -- 2
            when "0011" => seg_output <= "1111001"; -- 3
            when "0100" => seg_output <= "0110011"; -- 4
            when "0101" => seg_output <= "1011011"; -- 5
            when "0110" => seg_output <= "1011111"; -- 6
            when "0111" => seg_output <= "1110000"; -- 7
            when "1000" => seg_output <= "1111111"; -- 8
            when "1001" => seg_output <= "1111011"; -- 9
            when others => seg_output <= "0000000"; -- エラー状態(全セグメントOFF)
        end case;
    end process;
end Behavioral;

実行結果

  • bin_input = “0000”の場合 -> seg_output = “1111110”(0を表示)
  • bin_input = “1001”の場合 -> seg_output = “1111011”(9を表示)
  • bin_input = “1111”の場合 -> seg_output = “0000000”(エラー状態、全セグメントOFF)

このようなライブラリコンポーネントを用意しておくことで、7セグメントディスプレイを使用する様々なプロジェクトで簡単に再利用できます。

大規模な設計では、複数の7セグメントディスプレイを使用することも多いため、このようなモジュールの再利用性は非常に高いです。

まとめ

VHDLにおける下位モジュールの活用は、大規模で複雑な回路設計を効率的に行うための鍵となります。

本記事では、基本的な概念から応用例まで、幅広くVHDLの下位モジュール設計について解説しました。

クロックドメイン間の信号受け渡し、パラメータ化されたモジュール、再利用可能なライブラリコンポーネントなどの応用例を学ぶことで、より柔軟で堅牢な設計が可能になります。

初心者の方々にとって、VHDLの学習は時に困難に感じられるかもしれません。

しかし、本記事で紹介した技術や概念を一つずつ着実に身につけていくことで、徐々に複雑な設計にも取り組めるようになるはずです。