VHDL加算の方法10選!初心者でも簡単にマスター!

VHDL加算の簡単な方法とサンプルコードの図解VHDL
この記事は約18分で読めます。

 

【サイト内のコードはご自由に個人利用・商用利用いただけます】

この記事では、プログラム(回路記述)の基礎知識を前提に話を進めています。

説明のためのコードや、サンプルコードもありますので、もちろん初心者でも理解できるように表現してあります。

基本的な知識があればカスタムコードを使って機能追加、目的を達成できるように作ってあります。

※この記事は、一般的にプロフェッショナルの指標とされる『実務経験10,000時間以上』を凌駕する現役のプログラマチームによって監修されています。

サイト内のコードを共有する場合は、参照元として引用して下さいますと幸いです

※Japanシーモアは、常に解説内容のわかりやすさや記事の品質に注力しております。不具合、分かりにくい説明や不適切な表現、動かないコードなど気になることがございましたら、記事の品質向上の為にお問い合わせフォームにてご共有いただけますと幸いです。
(送信された情報は、プライバシーポリシーのもと、厳正に取扱い、処分させていただきます。)

はじめに

VHDLを使用した加算の技術は、電子回路の設計において中心的な役割を果たしています。

初心者の方がVHDLの加算をマスターするために、この記事では加算の方法を10のサンプルコードとともに詳細に解説いたします。

●VHDLとは

VHDL (VHSIC Hardware Description Language) は、電子システムの動作や構造を記述するためのプログラミング言語です。

主にFPGAやASICの設計に使用されることが多い言語です。

○VHDLの基本的な特徴

  • 硬度を具体的に記述することが可能。
  • テストベンチの作成やシミュレーションが行いやすい。
  • 標準的なライブラリが提供され、再利用性が高い。

●VHDLでの加算の方法

○サンプルコード1:2つの数値を加算

このコードでは、2つの4ビットの数値AとBを加算するシンプルな方法を紹介しています。

この例では、std_logic_vector型を使用して2つの数値を表現し、加算結果を得る方法を表しています。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity SimpleAdder is
    Port ( A : in  STD_LOGIC_VECTOR(3 downto 0);
           B : in  STD_LOGIC_VECTOR(3 downto 0);
           Sum : out STD_LOGIC_VECTOR(3 downto 0) );
end SimpleAdder;

architecture Behavioral of SimpleAdder is
begin
    Sum <= A + B;
end Behavioral;

このコードを実行すると、入力された2つの4ビット数値AとBの加算結果がSumに出力されます。

○サンプルコード2:4ビット加算器の作成

このコードでは、4ビットの加算器の設計を表しています。

この例では、Carry-InとCarry-Outを含む加算器の動作を表しています。

-- (省略)ライブラリ等のインクルード部分は上記と同様

entity FourBitAdder is
    Port ( A : in  STD_LOGIC_VECTOR(3 downto 0);
           B : in  STD_LOGIC_VECTOR(3 downto 0);
           Cin : in STD_LOGIC;
           Sum : out STD_LOGIC_VECTOR(3 downto 0);
           Cout : out STD_LOGIC);
end FourBitAdder;

architecture Behavioral of FourBitAdder is
    signal temp : STD_LOGIC_VECTOR(4 downto 0);
begin
    temp <= ("0" & A) + ("0" & B) + to_unsigned(integer'unsigned(Cin), 5);
    Sum <= temp(3 downto 0);
    Cout <= temp(4);
end Behavioral;

このコードでは、最初に5ビットの一時的な結果を得てから、その結果をSumとCoutに分けて出力します。

○サンプルコード3:オーバーフロー検出機能付き加算器

VHDLでは、加算器を設計する際にオーバーフローが生じる可能性があります。

これは、加算結果が指定されたビット数を超えた場合に発生します。

ここでは、オーバーフロー検出機能を持つ加算器のVHDLコードを紹介します。

この例では、2つの8ビット数を加算し、オーバーフローが生じた場合に検出する機能を実装しています。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity adder_overflow is
    Port ( A : in  STD_LOGIC_VECTOR (7 downto 0);
           B : in  STD_LOGIC_VECTOR (7 downto 0);
           Sum : out STD_LOGIC_VECTOR (7 downto 0);
           Overflow : out STD_LOGIC);
end adder_overflow;

architecture Behavioral of adder_overflow is
begin
    process(A, B)
    begin
        -- 加算処理
        Sum <= A + B;
        -- オーバーフローの検出
        Overflow <= '1' when (A(7) = B(7)) and (A(7) /= Sum(7)) else '0';
    end process;
end Behavioral;

上記のコードは、8ビットの2つの入力ABを受け取り、それらの加算結果をSumとして出力します。

さらに、オーバーフローが発生した場合、Overflowという出力が’1’となります。

このオーバーフロー検出のロジックは、入力の最上位ビット(A(7)とB(7))が同じで、加算結果の最上位ビット(Sum(7))が異なる場合にオーバーフローが発生したと判断されます。

このコードをFPGAやシミュレータで実行すると、A = "11111111"B = "00000001"のような場合、Sum"00000000"となり、Overflowが’1’としてオーバーフローが検出されることを確認できます。

○サンプルコード4:加算結果の出力

次に、VHDLでの加算結果を外部に出力する方法について表します。

この例では、LEDディスプレイや7セグメントディスプレイなどのデバイスに加算結果を表示するためのコードを考えます。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity adder_display is
    Port ( A : in  STD_LOGIC_VECTOR (7 downto 0);
           B : in  STD_LOGIC_VECTOR (7 downto 0);
           Display : out STD_LOGIC_VECTOR (7 downto 0));
end adder_display;

architecture Behavioral of adder_display is
begin
    process(A, B)
    begin
        -- 加算処理
        Display <= A + B;
    end process;
end Behavioral;

このコードでは、入力ABの加算結果を、Displayという8ビットの出力ポートを通じて外部に送信しています。

外部デバイスとの接続方法は、使用するハードウェアの仕様に従って設定する必要があります。

例えば、FPGAボード上のLEDや7セグメントディスプレイへの接続方法は、ボードのマニュアルや資料を参照してください。

コードを実行し、A = "00000001"B = "00000010"のような入力を与えると、Displayの出力は"00000011"となり、外部デバイスに’3’として表示されることが期待されます。

●VHDLの加算の応用例

VHDLでの加算には基本的な方法から応用的な実装までさまざまなやり方があります。

ここでは、VHDLの加算をさらに応用して、より複雑な回路や操作を実現する方法を紹介します。

○サンプルコード5:減算器の作成

VHDLで加算を行う際、その逆の操作である減算も同時に習得すると効果的です。

減算器を作成することで、加算の理解も深まります。

簡単な2ビットの減算器のサンプルコードを紹介します。

-- 減算器の設計
entity subtractor is
    port (A, B : in  std_logic_vector(1 downto 0);
          diff : out std_logic_vector(1 downto 0));
end subtractor;

architecture behavior of subtractor is
begin
    -- 2ビット数Aから2ビット数Bを引く
    diff <= A - B;
end behavior;

このコードでは2ビットの数字AとBを用意し、AからBを引いた結果をdiffとして出力しています。

この例では、減算の基本的な操作を学ぶことができます。

○サンプルコード6:フルアダーの実装

フルアダーは、2つの入力ビットとキャリー入力からのビットを加算するための回路です。

この実装を理解することで、VHDLにおける加算の深い理解が得られます。

-- フルアダーの設計
entity full_adder is
    port (A, B, Cin : in  std_logic;
          Sum, Cout : out std_logic);
end full_adder;

architecture behavior of full_adder is
begin
    Sum <= A xor B xor Cin;
    Cout <= (A and B) or (Cin and (A xor B));
end behavior;

このコードでは、入力ビットA、B、キャリー入力Cinを受け取り、加算結果をSumとして、次の桁へのキャリーアウトをCoutとして出力しています。

フルアダーは、多ビット加算器の基本ブロックとして使用されるため、VHDLでの実装方法をしっかりと理解しておくことが重要です。

○サンプルコード7:加算と減算を組み合わせた回路

加算と減算を同時に扱うことで、より複雑な計算もVHDLで実現できます。

下記のコードは、加算と減算を選択的に行う回路のサンプルです。

-- 加算と減算を選択的に行う回路
entity calc is
    port (A, B : in  std_logic_vector(1 downto 0);
          mode : in std_logic;  -- 0で加算、1で減算
          result : out std_logic_vector(1 downto 0));
end calc;

architecture behavior of calc is
begin
    process (A, B, mode)
    begin
        if mode = '0' then
            result <= A + B;
        else
            result <= A - B;
        end if;
    end process;
end behavior;

このコードでは、mode入力によって、加算か減算かを選択して演算を行います。

この例では、条件分岐と計算の組み合わせ方を学ぶことができます。

○サンプルコード8:多数の入力に対応する加算器

VHDLで加算を行う際、単純に2つの数値を足し合わせるだけでなく、複数の入力を扱うことも可能です。

多入力の加算器は、例えば複数のセンサーデータの合計値を求める際などに利用されることが考えられます。

ここでは、4つの入力データを加算する加算器の実装方法を取り上げます。

-- 4つの入力に対応する加算器の実装
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity multi_adder is
    Port ( A : in  STD_LOGIC_VECTOR(3 downto 0);
           B : in  STD_LOGIC_VECTOR(3 downto 0);
           C : in  STD_LOGIC_VECTOR(3 downto 0);
           D : in  STD_LOGIC_VECTOR(3 downto 0);
           SUM : out  STD_LOGIC_VECTOR(3 downto 0));
end multi_adder;

architecture Behavioral of multi_adder is
begin
    -- 入力A, B, C, Dの合計を出力SUMに割り当てる
    SUM <= (A + B + C + D);
end Behavioral;

このコードでは、4つの4ビットの入力A, B, C, Dを使用して、それらの数値の合計を出力する加算器を作成しています。

入力は全てSTD_LOGIC_VECTOR型を使用し、合計値はSUMという出力ポートに割り当てられます。

実際の加算処理は、SUM <= (A + B + C + D);の部分で行われており、4つの入力の合計を求めています。

この加算器を使用すると、例えば入力Aに「0010」、入力Bに「0011」、入力Cに「0101」、入力Dに「1001」を与えた場合、出力として「1111」が得られることが期待されます。

この場合、2 + 3 + 5 + 9 = 19となり、これを4ビットで表すと「1111」となります。

○サンプルコード9:16ビット加算器の実装

16ビットの加算器は、より大きな数値の加算を行う際に使用されます。

この例では、2つの16ビットの数値を加算する加算器を実装します。

-- 16ビット加算器の実装
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity bit16_adder is
    Port ( A : in  STD_LOGIC_VECTOR(15 downto 0);
           B : in  STD_LOGIC_VECTOR(15 downto 0);
           SUM : out  STD_LOGIC_VECTOR(15 downto 0));
end bit16_adder;

architecture Behavioral of bit16_adder is
begin
    -- 入力AとBの合計を出力SUMに割り当てる
    SUM <= (A + B);
end Behavioral;

このコードでは、2つの16ビットの入力AとBを取り、それらの加算結果を出力SUMに割り当てています。

加算処理は、SUM <= (A + B);の部分で行われており、AとBの値を加算しています。

この加算器を使用すると、例えば入力Aに「0000 0000 1010 1100」、入力Bに「0000 0001 0010 0010」を与えると、出力として「0000 0011 1100 1110」が得られることが期待されます。

この場合、数値としては170 + 290 = 460となり、これを16ビットで表すと「0000 0011 1100 1110」となります。

○サンプルコード10:加算結果の表示と出力

VHDLでの計算結果を表示して、どのように出力するかが非常に重要です。

この部分での出力方法を知っていることは、設計やデバッグの効率を大きく上げることに繋がります。

今回は、VHDLでの加算結果の表示とその出力方法について解説します。

このコードでは、加算結果をVHDLのシミュレーション環境で表示し、その結果を外部に出力する手法を紹介しています。

この例では、テストベンチを使用して加算の結果を確認しつつ、実際のハードウェア環境でもその結果を利用できるようにしています。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity AdderResultDisplay is
    Port ( A : in  STD_LOGIC_VECTOR(7 downto 0);
           B : in  STD_LOGIC_VECTOR(7 downto 0);
           Sum : out  STD_LOGIC_VECTOR(7 downto 0));
end AdderResultDisplay;

architecture Behavioral of AdderResultDisplay is
begin
    Sum <= A + B;  -- 加算の実行

    process
    begin
        wait for 10 ns;  -- 10ns待機
        assert (A + B = Sum) report "加算結果が正しくありません" severity ERROR;  -- 結果を確認
    end process;

end Behavioral;

上記のコードでは、8ビットの2つの入力信号AとBを加算し、結果をSumとして出力しています。

さらに、加算の結果をシミュレーション時に確認するためのassert文を利用して、加算が正しく行われているかをテストしています。

このようにして、VHDLのシミュレーション環境でのテストを効率的に行い、正確な結果を得ることが可能です。

実際にこのコードをシミュレーションすると、AとBの値に応じてSumの値が変わり、エラーメッセージは表示されないはずです。

VHDLの加算結果を確認し、正しく表示・出力する方法は、今後の設計作業においても非常に役立ちます。

特に複雑な回路設計や大規模なシステムを扱う際には、このようなテストベンチを利用したシミュレーションが不可欠となります。

●VHDL加算の注意点と対処法

VHDLでの加算操作は、初心者にとっては少々複雑に感じられる場合があります。

加算を行う際に起こり得るいくつかの問題点や注意点、そしてそれらの問題を解決するための方法を以下に詳細に解説します。

○ビット長の不整合

VHDLでの加算操作を行う際、最も一般的なエラーの一つは、ビット長の不整合です。

異なるビット長の信号や変数を加算しようとすると、エラーが発生します。

このコードでは、4ビットの信号Aと3ビットの信号Bを加算しようとする例を表しています。

この例では、ビット長が異なるためにエラーが発生します。

signal A : std_logic_vector(3 downto 0); -- 4ビット
signal B : std_logic_vector(2 downto 0); -- 3ビット
signal C : std_logic_vector(3 downto 0);

begin
    C <= A + B; -- これはエラーが発生する

この問題を解決するためには、加算する前にビット長を揃える必要があります。

下記のサンプルコードでは、Bの最上位ビットに'0'を追加して4ビットに変換しています。

C <= A + ("0" & B); -- ビット長を揃えて加算

○オーバーフローの問題

もう一つのよくある問題は、オーバーフローです。

ビット長が固定されている場合、加算の結果がそのビット長を超えてしまうと、オーバーフローが発生します。

例えば、次のサンプルコードでは、4ビットの最大値"1111"(10進数で15)を加算する場面を想定しています。

signal X : std_logic_vector(3 downto 0) := "1111";
signal Y : std_logic_vector(3 downto 0) := "0001";
signal Z : std_logic_vector(3 downto 0);

begin
    Z <= X + Y; -- これはオーバーフローが発生する

この加算結果は16となりますが、4ビットでは表現できないため、実際には"0000"となります。

この問題を解決するには、ビット長を十分に確保するか、オーバーフロー検出のロジックを追加することで対処します。

下記のサンプルコードでは、オーバーフロー時に特定の動作をする例を示しています。

signal overflow : std_logic;

begin
    Z <= X + Y;
    overflow <= '1' when X(3) and Y(3) and not Z(3) else '0';

上記のコードでは、オーバーフローが発生するとoverflow信号が'1'になります。

●カスタマイズ方法

VHDLでの加算のカスタマイズには様々な方法がありますが、ここでは主要なものをいくつか紹介します。

○ビット長の動的な変更

ビット長を固定しないで加算を行いたい場合は、ジェネリックを使用することで動的にビット長を変更できます。

このコードでは、ジェネリックを使って動的にビット長を変更する方法を紹介しています。

この例では、ジェネリックBIT_LENGTHを使用してビット長を指定し、それに基づいて加算を行っています。

entity Adder is
    generic(BIT_LENGTH : integer := 4);
    port(A, B : in std_logic_vector(BIT_LENGTH-1 downto 0);
         Sum : out std_logic_vector(BIT_LENGTH-1 downto 0));
end Adder;

architecture Behavioral of Adder is
begin
    Sum <= A + B;
end Behavioral;

このジェネリックを使用することで、ビット長を動的に変更して柔軟な加算処理を実装できます。

まとめ

VHDLを使用した加算の方法には注意点がいくつか存在しますが、それらの対処法を理解することで、より安定した回路設計が可能となります。

特にビット長の不整合やオーバーフローの問題は初心者にとっては難しい点もありますが、上記の解説を参考にして、適切な設計を行ってください。