【VHDL入門】DUTを使った実践的10のサンプルコード

VHDL初心者がDUTを学ぶためのガイドブックVHDL
この記事は約21分で読めます。

 

【サイト内のコードはご自由に個人利用・商用利用いただけます】

この記事では、プログラム(回路記述)の基礎知識を前提に話を進めています。

説明のためのコードや、サンプルコードもありますので、もちろん初心者でも理解できるように表現してあります。

基本的な知識があればカスタムコードを使って機能追加、目的を達成できるように作ってあります。

※この記事は、一般的にプロフェッショナルの指標とされる『実務経験10,000時間以上』を凌駕する現役のプログラマチームによって監修されています。

サイト内のコードを共有する場合は、参照元として引用して下さいますと幸いです

※Japanシーモアは、常に解説内容のわかりやすさや記事の品質に注力しております。不具合、分かりにくい説明や不適切な表現、動かないコードなど気になることがございましたら、記事の品質向上の為にお問い合わせフォームにてご共有いただけますと幸いです。
(送信された情報は、プライバシーポリシーのもと、厳正に取扱い、処分させていただきます。)

はじめに

VHDLは、電子回路の設計とシミュレーションのためのハードウェア記述言語です。

VHDLでの設計やシミュレーションには多くの要素やモジュールが存在しますが、その中でも特に重要な役割を果たすのがDUT(Device Under Test)です。

DUTは、テストの対象となるデバイスやモジュールを指します。

今回の記事では、VHDLのDUTに焦点を当て、その基本的な使い方から応用例、カスタマイズ方法まで、初心者でも理解できるように詳細に解説します。

特に、実際のプロジェクトでの使用を想定して、10つのサンプルコードを用意しました。

それぞれのサンプルコードには詳細な説明と、そのコードの実行結果を交えて解説します。

VHDLのDUTを効果的に使用するためのテクニックや、DUT使用時の注意点も取り上げますので、ぜひ最後までご覧ください。

DUTを学ぶことは、電子回路の設計やシミュレーションのスキルアップに繋がります。

初心者の方はもちろん、VHDLをすでに使い慣れている方でも、新しい発見や知識を得ることができるでしょう。

さて、まずはVHDLとDUTの基本について、その背景や概要を確認していきましょう。

●VHDLとDUTの基本

VHDLとは、デジタル回路の設計・シミュレーションのためのハードウェア記述言語であり、その性質上、信号処理やデジタルロジックのモデリングに非常に適しています。

初心者がVHDLの世界に足を踏み入れる際、最初に理解すべきは、この言語がどのような目的で生まれ、どのような特性を持っているのかという基本的な部分です。

○VHDLとは?

VHDLは、Very High-Speed Integrated Circuit Hardware Description Languageの略で、デジタルシステムの設計やモデリングを目的として1980年代に開発されました。

一般的なプログラミング言語とは異なり、VHDLは回路の動作や構造を記述することを主眼としています。

そのため、時系列に命令を実行するのではなく、複数の信号や動作を同時に表現することができます。

具体的には、次のような特徴があります。

  • コンカレント(並行)実行:複数のプロセスや動作を同時に記述・実行することができる。
  • 型安全:データ型の厳密なチェックが行われるため、型の不一致によるエラーを早期に検出することができる。
  • ポータビリティ:異なるハードウェアやシミュレータでの動作を保証するための基準が定められている。

○DUT(Device Under Test)とは?

DUTは、テスト対象となるデバイスやモジュールを指す言葉で、特にVHDLにおけるシミュレーションや検証の際に頻繁に使用されます。

具体的には、ある回路の動作をテストするために、その回路をDUTとして定義し、テストベンチという環境下で動作を確認します。

このコードでは、シンプルなANDゲートのDUTを表しています。

この例では、2つの入力信号を受け取り、ANDの動作を行い、結果を出力として提供しています。

entity and_gate is
    port (
        A, B : in bit;
        Y : out bit
    );
end entity and_gate;

architecture behavior of and_gate is
begin
    process (A, B)
    begin
        Y <= A and B;
    end process;
end architecture behavior;

上記のコードを実行すると、AとBの両方が’1’の時のみYが’1’となる結果を得ることができます。

これにより、ANDゲートの基本的な動作がVHDLで正しくモデル化されていることが確認できます。

DUTとしての回路を検証する際は、テストベンチと呼ばれる環境を用意し、その中でDUTの動作をテストします。

テストベンチは、DUTの入力に様々な信号を供給し、出力が期待通りであるかを確認する役割を持ちます。

●VHDLでDUTを使うメリット

VHDL (VHSIC Hardware Description Language) は、高度な集積回路 (VHSIC: Very High-Speed Integrated Circuit) のためのハードウェア記述言語です。

エレクトロニクス業界におけるデジタル回路設計の主流として利用されており、特にFPGAやASICの設計において重要な役割を果たしています。

その中でDUT (Device Under Test) という概念が導入されることにより、多くのメリットが生まれています。

①テスト効率の向上

DUTを使用すると、特定の回路部分のみを対象にしてテストを行うことができます。

これにより、テストの効率が大幅に向上し、設計エラーの早期発見が可能になります。

②モジュラーデザインの促進

DUTを活用することで、全体の回路を小さな部分に分割し、それぞれを独立してテストすることができます。

これにより、モジュラーデザインが促進され、再利用や拡張が容易になります。

③シミュレーション速度の向上

大規模な回路設計では、シミュレーションに多くの時間がかかることがあります。

DUTを使用することで、対象とする部分だけをシミュレートすることができるため、シミュレーションの速度が向上します。

④エラーの特定が容易

DUTを用いることで、エラーが発生した際の特定が容易になります。

特定のモジュール内でのエラーを迅速に発見し、修正することが可能になります。

このコードでは、基本的なDUTの定義とそのテストベンチを表しています。

この例では、簡単な加算器をDUTとして定義し、テストベンチでその動作を確認しています。

-- 加算器の定義 (DUT)
entity adder is
    Port ( A : in  STD_LOGIC_VECTOR (3 downto 0);
           B : in  STD_LOGIC_VECTOR (3 downto 0);
           SUM : out  STD_LOGIC_VECTOR (3 downto 0));
end adder;

architecture Behavioral of adder is
begin
    SUM <= A + B;
end Behavioral;

-- テストベンチ
entity adder_tb is
end adder_tb;

architecture sim of adder_tb is
    signal A, B, SUM: STD_LOGIC_VECTOR (3 downto 0);
    begin
        uut: entity work.adder
        port map (A => A, B => B, SUM => SUM);

        -- テストシーケンス
        A <= "0001";
        B <= "0010";
        wait for 10 ns;

        A <= "0011";
        B <= "0100";
        wait for 10 ns;
end sim;

上記のサンプルコードでは、4ビットの加算器を定義しています。

そして、テストベンチにて、加算器に2つの入力を与え、出力を確認するシーケンスを記述しています。

初回のテストでは、A=”0001″とB=”0010″を入力し、その10ns後、A=”0011″とB=”0100″を入力しています。

実際にこのコードを実行すると、加算器は入力された2つのビット列を加算して出力します。

したがって、最初のテストではSUM=”0011″、次のテストではSUM=”0111″という結果が得られます。

●DUTの使い方

VHDLの設計やシミュレーションの際、Device Under Test (DUT)は中心的な役割を果たします。

DUTは、テスト対象となる回路やモジュールを指します。

ここでは、VHDLでのDUTの基本的な使い方と、それを取り巻くテストベンチの作成方法について詳しく解説します。

○サンプルコード1:基本的なDUTの構築

このコードでは、簡単なANDゲートをDUTとして実装する方法を表しています。

この例では、2つの入力を取り、それらのAND演算結果を出力として返すDUTを作成しています。

-- DUT (ANDゲートの実装)
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity AND_Gate is
    Port ( A : in  STD_LOGIC;
           B : in  STD_LOGIC;
           Y : out STD_LOGIC);
end AND_Gate;

architecture Behavioral of AND_Gate is
begin
    Y <= A and B;
end Behavioral;

このDUTの動作は非常に単純で、AとBの入力が共に’1’の場合にのみ、Yの出力が’1’になります。

○サンプルコード2:DUTを用いたテストベンチ作成

このコードでは、先ほど作成したANDゲートのDUTをテストするためのテストベンチを表しています。

この例では、異なる入力組み合わせをANDゲートに与え、出力を確認しています。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity AND_Gate_tb is
end AND_Gate_tb;

architecture sim of AND_Gate_tb is
    signal A, B : STD_LOGIC;
    signal Y    : STD_LOGIC;
    component AND_Gate
        Port ( A : in  STD_LOGIC;
               B : in  STD_LOGIC;
               Y : out STD_LOGIC);
    end component;
begin
    UUT: AND_Gate port map (A, B, Y);

    process
    begin
        A <= '0'; B <= '0'; wait for 10 ns;
        A <= '1'; wait for 10 ns;
        B <= '1'; wait for 10 ns;
        A <= '0'; wait for 10 ns;
        wait;
    end process;
end sim;

このテストベンチを使ってシミュレーションを行うと、AやBの入力値に応じて、Yの出力が変化する様子を観察することができます。

○サンプルコード3:DUT内のシグナルの確認方法

DUT内の特定のシグナルの振る舞いを確認したい場合もあります。

このコードでは、DUT内部のシグナルを外部から確認する方法を表しています。

-- DUT (内部シグナルを持つANDゲートの実装)
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity AND_Gate_internal is
    Port ( A : in  STD_LOGIC;
           B : in  STD_LOGIC;
           Y : out STD_LOGIC);
    signal internal_signal : STD_LOGIC;
end AND_Gate_internal;

architecture Behavioral of AND_Gate_internal is
begin
    internal_signal <= A nand B;
    Y <= not internal_signal;
end Behavioral;

このDUTでは、内部シグナルとしてinternal_signalを持っており、このシグナルの値に基づいてYの出力が決定されます。

●DUTの応用例

VHDLのDUT(Device Under Test)は、回路の設計と検証の際に極めて強力なツールとなります。

DUTの基本的な使い方を学んだ後、それを応用してより複雑な設計やテストに活用する方法を学ぶことで、VHDLを更に効果的に使えるようになります。

○サンプルコード4:DUTを使用した複雑な回路のテスト

このコードでは、DUTを使用して複雑な回路のテストを行う方法を表しています。

この例では、複数の入力と出力を持つ回路の動作を確認しています。

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity complex_circuit is
    port(
        A, B : in std_logic_vector(3 downto 0);
        Y    : out std_logic_vector(3 downto 0)
    );
end entity complex_circuit;

architecture behavior of complex_circuit is
begin
    Y <= A and B;  -- この例ではAND演算を示しています。
end architecture behavior;

テストベンチを使用してDUTの動作を検証する際、複雑な回路に対しても異なるパターンの入力を供給し、期待する出力を確認することができます。

このコードを実行すると、入力AとBのAND演算結果がYに出力されます。

○サンプルコード5:DUTと他のモジュールの組み合わせ

このコードでは、DUTと他のモジュールを組み合わせる方法を表しています。

この例では、DUTを中心にして、外部のモジュールと連携して動作を確認しています。

entity main_module is
    port(
        X, Z : in std_logic_vector(3 downto 0);
        W    : out std_logic_vector(3 downto 0)
    );
end entity main_module;

architecture behavior of main_module is
    signal Y : std_logic_vector(3 downto 0);
begin
    DUT_inst: entity work.complex_circuit
        port map(X, Z, Y);

    W <= Y or Z;  -- DUTの出力とZのOR演算を示しています。
end architecture behavior;

この設計では、DUTの出力を別のモジュールでさらに処理することができます。

DUTと連携して動作する外部モジュールを効果的に組み合わせることで、システム全体の動作を検証できます。

このコードを実行すると、DUTの出力Yと入力ZのOR演算結果がWに出力されます。

○サンプルコード6:DUTを使用したエラーチェック

このコードでは、DUTの動作中に発生するエラーを検出し、適切なエラーメッセージを出力する方法を表しています。

この例では、特定の条件下でエラーが発生する場合の対応を表しています。

entity error_checker is
    port(
        A, B : in std_logic_vector(3 downto 0);
        Y    : out std_logic_vector(3 downto 0);
        ERR  : out std_logic
    );
end entity error_checker;

architecture behavior of error_checker is
begin
    Y <= A + B;
    ERR <= '1' when (A = "1000" and B = "1000") else '0';  -- この条件下でエラーを示しています。
end architecture behavior;

このコードでは、AとBの入力がともに”1000″のとき、エラー信号ERRが’1’になり、問題が発生していることを表すことができます。

●DUTのカスタマイズ方法

VHDLを用いる上で、DUT(Device Under Test)のカスタマイズは、より高度なシミュレーションや実装に必要なステップとなります。

ここでは、DUTのカスタマイズ方法を詳しく解説します。

○サンプルコード7:カスタム属性を持ったDUTの作成

このコードでは、VHDLでカスタム属性を持ったDUTを作成する方法を表しています。

この例では、特定の属性をDUTに付与して、シミュレーション中にそれを参照する手法を採用しています。

library ieee;
use ieee.std_logic_1164.all;

entity CustomDUT is
    generic (custom_attr : integer := 10);  -- カスタム属性を持つDUT
    port (a, b : in std_logic; y : out std_logic);
end CustomDUT;

architecture Behavioral of CustomDUT is
begin
    -- ここでのロジックは例として簡略化
    y <= a and b;
end Behavioral;

このコードの中で、custom_attrという名前のジェネリックがDUTに追加されています。

これにより、シミュレーション時やテストベンチでこの属性を変更することが可能となります。

○サンプルコード8:DUTの動的な再構築方法

VHDLでのDUTの再構築は、シミュレーションの要件に応じてDUTの構造を動的に変更する方法です。

この例では、DUTの内部ロジックを変更するシナリオを表しています。

library ieee;
use ieee.std_logic_1164.all;

entity DynamicDUT is
    generic (mode : integer := 0);
    port (a, b : in std_logic; y : out std_logic);
end DynamicDUT;

architecture Behavioral of DynamicDUT is
begin
    process(a, b)
    begin
        if mode = 0 then
            y <= a and b;  -- モード0ではANDロジック
        else
            y <= a or b;   -- それ以外のモードではORロジック
        end if;
    end process;
end Behavioral;

このコードの中で、modeというジェネリックを用いてDUTの内部ロジックを動的に切り替えています。

モードによって、DUTの動作が変わる仕組みとなっております。

○サンプルコード9:DUTのインターフェイスの変更方法

DUTのインターフェイスを変更することで、異なるテストベンチやシミュレーション環境での使用が可能となります。

このコードでは、DUTのポートインターフェイスを変更する例を取り上げています。

library ieee;
use ieee.std_logic_1164.all;

entity ModifiedInterfaceDUT is
    port (input_vector : in std_logic_vector(1 downto 0); 
          y : out std_logic);
end ModifiedInterfaceDUT;

architecture Behavioral of ModifiedInterfaceDUT is
begin
    y <= input_vector(0) and input_vector(1);
end Behavioral;

上記のコードでは、単純な2つの入力ポートから、1つのstd_logic_vectorタイプの入力ポートに変更しています。

これにより、異なるデータ型を持つテストベンチとの互換性を高めることができます。

○サンプルコード10:DUTを使用した高度なシミュレーションテクニック

最後に、DUTを使用した高度なシミュレーションテクニックについて説明します。

この例では、時間に応じて動作が変わるDUTを作成しています。

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity AdvancedSimulationDUT is
    port (clk : in std_logic;
          reset : in std_logic;
          y : out std_logic_vector(3 downto 0));
end AdvancedSimulationDUT;

architecture Behavioral of AdvancedSimulationDUT is
    signal count : unsigned(3 downto 0) := "0000";
begin
    process(clk, reset)
    begin
        if reset = '1' then
            count <= "0000";
        elsif rising_edge(clk) then
            count <= count + 1;
        end if;
    end process;

    y <= std_logic_vector(count);
end Behavioral;

このコードの特徴として、内部でカウンタを持ち、クロックの立ち上がりごとに値が増加する点が挙げられます。

これにより、シミュレーション中の時間経過に応じた動作の検証が可能となります。

●DUT使用時の注意点と対処法

VHDLのシミュレーションや実装の際、DUT(Device Under Test)は中心的な役割を果たします。

しかし、DUTを使用する上での様々な注意点やトラブルシューティングのテクニックも存在します。

このセクションでは、それらの注意点と対処法をサンプルコードを交えながら詳細に解説します。

○注意点1:適切なDUTのサイジング

DUTの大きさが大きすぎると、シミュレーションの実行時間が非常に長くなる可能性があります。

また、小さすぎると必要な機能やテストが網羅できないことも。

対処法:

DUTのサイジングは、テストする機能に応じて適切に行い、テストベンチ内での効率的な動作を確認することが必要です。

○サンプルコード11:適切なサイズのDUTの作成

library ieee;
use ieee.std_logic_1164.all;

entity SuitableSizeDUT is
    port (a, b : in std_logic; y : out std_logic);
end SuitableSizeDUT;

architecture Behavioral of SuitableSizeDUT is
begin
    y <= a and b;
end Behavioral;

このコードでは、2つの入力を受け取り、ANDロジックを適用して出力するシンプルなDUTを表しています。

この例では、シンプルなロジックを持つDUTを紹介しています。

実際の動作を確認すると、aとbがどちらも’1’のときのみyが’1’となり、それ以外の場合はyが’0’となることが確認できます。

○注意点2:不適切な信号の使用

DUT内での信号の使用は注意が必要です。

特に、未初期化の信号や不適切なデータ型の信号を使用すると、予期しない動作やエラーが発生する可能性があります。

対処法:

信号の初期化やデータ型の確認を徹底的に行うことで、このような問題を回避できます。

○サンプルコード12:信号の初期化とデータ型の確認

library ieee;
use ieee.std_logic_1164.all;

entity SignalCheckDUT is
    port (a, b : in std_logic; y : out std_logic);
end SignalCheckDUT;

architecture Behavioral of SignalCheckDUT is
    signal temp: std_logic := '0'; -- 初期化された信号
begin
    temp <= a or b;
    y <= temp;
end Behavioral;

このコードでは、内部信号tempを適切に初期化し、データ型を正しく設定しています。

この例では、初期化された信号と適切なデータ型の信号の使用方法を紹介しています。

このDUTを使用すると、aまたはbが’1’の場合、yも’1’となることが確認できます。

○注意点3:タイミング問題

DUTのシミュレーション中に、入力信号の変化やクロックのエッジに関連したタイミングの問題が発生することがよくあります。

対処法:

適切な待ち時間や信号の同期を取り入れることで、タイミングの問題を解決することができます。

○サンプルコード13:タイミング問題の対処

library ieee;
use ieee.std_logic_1164.all;

entity TimingDUT is
    port (clk, a : in std_logic; y : out std_logic);
end TimingDUT;

architecture Behavioral of TimingDUT is
    signal temp: std_logic := '0';
begin
    process(clk)
    begin
        if rising_edge(clk) then
            temp <= a;
        end if;
    end process;

    y <= temp;
end Behavioral;

このコードでは、クロックの立ち上がりエッジで信号aの値を取り込むことで、タイミングの問題を回避しています。

この例では、クロックのエッジを利用して信号の同期を取る方法を紹介しています。

クロックの立ち上がりエッジの際に、aの値が変化してもyの出力は1クロック周期後に変化することが確認できます。

まとめ

VHDLのDUT(Device Under Test)は、シミュレーションや実装の過程で中心的な役割を果たします。

この記事では、DUTを使用する上での主要な注意点とそれらの対処法を取り上げました。

VHDLのDUT設計時にこれらの注意点と対処法を頭に入れておくことで、効果的かつスムーズな開発を進めることができるでしょう。

この記事が参考になれば幸いです。